亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關于我們
? 蟲蟲下載站

?? arm7tdmis_top.vhd

?? arm verilog hdl ip core
?? VHD
?? 第 1 頁 / 共 4 頁
字號:
--****************************************************************************************************
-- Top entity for ARM7TDMI-S processor
-- Designed by Ruslan Lepetenok
-- Modified 05.02.2003
--****************************************************************************************************

library	IEEE;
use IEEE.std_logic_1164.all;

use WORK.ARMPackage.all;

entity ARM7TDMIS_Top is port(
	                        -- Clock
							CLK           : in std_logic;
							CLKEN         : in std_logic;
							-- Interrupts
							nRESET        : in std_logic; 
	                        nIRQ          : in std_logic; 
							nFIQ          : in std_logic; 
							-- Bus control
							CFGBIGEND     : in std_logic; 
							-- Arbitration
							DMORE         : out std_logic; 
	                        LOCK          : out std_logic; 
							-- Memory interface
							ADDR          : out std_logic_vector(31 downto 0);
	                        WDATA         : out std_logic_vector(31 downto 0);
	                        RDATA         : in  std_logic_vector(31 downto 0);
							ABORT	      : in std_logic; 
							WRITE         : out std_logic; 
                            SIZE          : out std_logic_vector(1 downto 0);
							PROT          : out std_logic_vector(1 downto 0);
							TRANS         : out std_logic_vector(1 downto 0);
							-- Memory management interface
							CPnTRANS      : out std_logic; 
							CPnOPC        : out std_logic; 
							-- Coprocessor interface
							CPnMREQ       : out std_logic; 
							CPnSEQ        : out std_logic; 
							CPTBIT        : out std_logic; 
							CPnI          : out std_logic; 
							CPA	          : in std_logic; 
							CPB	          : in std_logic
							);
end ARM7TDMIS_Top;

architecture Struct of ARM7TDMIS_Top is

constant CSlackEstimationCompilation : boolean := TRUE;

-- Components

-- ALU
component ALU is port (
	                    ADataIn    : in  std_logic_vector(31 downto 0); 
						BDataIn    : in  std_logic_vector(31 downto 0); 
						DataOut    : out std_logic_vector(31 downto 0); 
						InvA	   : in  std_logic;
						InvB	   : in  std_logic;
						PassA	   : in  std_logic;
						PassB	   : in  std_logic;	-- MOV/MVN operations
						-- Logic operations
						AND_Op	   : in  std_logic;
						ORR_Op	   : in  std_logic;
						EOR_Op	   : in  std_logic;
						-- Flag inputs						
						CFlagIn	   : in  std_logic;
						CFlagUse   : in  std_logic; -- ADC/SBC/RSC instructions
						-- Flag outputs
						CFlagOut    : out  std_logic;
						VFlagOut    : out  std_logic;
						NFlagOut    : out  std_logic;
						ZFlagOut    : out  std_logic
				    );
end component;

-- Shifter
component Shifter is port (
	                    ShBBusIn   : in  std_logic_vector(31 downto 0); -- Input data (B-Bus)
						ShOut      : out std_logic_vector(31 downto 0);	-- Output data
	                    ShCFlagIn  : in  std_logic;                     -- Input of the carry flag 
						ShCFlagOut : out std_logic;                     -- Output of the carry flag 
						ShLenRs    : in  std_logic_vector(7 downto 0);  -- Shift amount for register shift (value of Rs[7..0]) 
						ShLenImm   : in  std_logic_vector(4 downto 0);  -- Shift amount for immediate shift (bits [11..7])
						ShType     : in  std_logic_vector(2 downto 0);  -- Shift type (bits 6,5 and 4 of instruction)
						ShRotImm   : in  std_logic;                     -- Rotate immediate 8-bit value
						ShEn       : in  std_logic;
						ShCFlagEn  : in  std_logic
						);
end component;

-- Multiplier
component Multiplier is port ( 
						   -- Global signals
	                       nRESET      : in  std_logic;
						   CLK         : in  std_logic;
						   CLKEN       : in  std_logic;
	                       -- Data inputs
	                       ADataIn     : in  std_logic_vector(31 downto 0); -- RdHi(Rn)/Rs data path 
						   BDataIn     : in  std_logic_vector(31 downto 0); -- RdLo(Rd)/Rm data path
						   -- Data outputs
						   ADataOut    : out  std_logic_vector(31 downto 0);  
						   BDataOut    : out  std_logic_vector(31 downto 0); 
						   -- Control inputs
						   LoadRsRm    : in  std_logic; -- Load Rs and Rm and start
						   LoadPS      : in  std_logic; -- Load partial sum register with RHi:RLo   
						   ClearPSC    : in  std_logic; -- Clear prtial sum register
						   UnsignedMul : in  std_logic; -- Unsigned multiplication
						   ReadLH	   : in  std_logic;	-- 0 - Read PS/PC low,1 - Read PS/PC high
						   -- Control outputs
						   MulResRdy   : out std_logic  -- Multiplication result is ready
						   );
end component;


-- Register file
component RegFile is generic(DebugMode : boolean);
	               port(
						-- Global control signals
	                    nRESET         : in std_logic;
						CLK            : in std_logic;
						CLKEN          : in std_logic;
						-- Data buses
						ABusOut        : out std_logic_vector(31 downto 0); 
						BBusOut        : out std_logic_vector(31 downto 0); 
						DataIn         : in  std_logic_vector(31 downto 0); 
						-- Address an control
						ABusRdAdr      : in std_logic_vector(3 downto 0);
						BBusRdAdr      : in std_logic_vector(3 downto 0);
						WriteAdr       : in std_logic_vector(3 downto 0);
						WrEn	       : in std_logic;
						-- Program counter
						PCIn           : in  std_logic_vector(31 downto 0);  
						PCOut          : out std_logic_vector(31 downto 0);  
						PCWrEn         : in  std_logic; --???
						PCSrcSel       : in  std_logic;  
						-- Global control
						RFMode         : in std_logic_vector(4 downto 0); 
						SaveBaseReg    : in std_logic;
						RestoreBaseReg : in std_logic
						);
end component;

-- Program status registers 
component PSR is port(
						-- Global control signals
	                    nRESET    : in  std_logic;
						CLK       : in  std_logic;
						CLKEN     : in  std_logic;
						-- ALU Data in
						DataIn    : in  std_logic_vector(31 downto 0);
						PSRDInSel : in  std_logic;
						-- Current program state
						CPSRIn    : in  std_logic_vector(31 downto 0); 
						CPSRWrEn  : in  std_logic_vector(31 downto 0);
						CPSROut   : out std_logic_vector(31 downto 0); 
						CFlForMul : in  std_logic;
						-- Saved program state
						SPSRIn    : in  std_logic_vector(31 downto 0);
	                    SPSROut   : out std_logic_vector(31 downto 0);
						SPSRWrMsk : in  std_logic_vector(3 downto 0);
						-- PSR mode control
						PSRMode   : in  std_logic_vector(4 downto 0) 
						);
end component;



-- Instruction pipeline, data in register, immediate data extractor
component IPDR is port(
	                   -- Clock and reset
				       nRESET               : in  std_logic; 
					   CLK                  : in  std_logic;
					   CLKEN                : in  std_logic;
					   -- Memory interface
	                   RDATA                : in  std_logic_vector(31 downto 0);
					   ABORT	            : in  std_logic;
					   -- Thumb decoder interface
					   ToThumbDecoder		: out std_logic_vector(31 downto 0); 
					   FromThumbDecoder		: in  std_logic_vector(31 downto 0); 
					   HalfWordAddress		: out std_logic;
					   -- Interfaces for the internal CPU modules
					   InstForDecode        : out std_logic_vector(31 downto 0); 
					   InstFetchAbort       : out std_logic;
					   ADDRLow              : in  std_logic_vector(1 downto 0); -- Address [1..0]
					   StagnatePipeline	    : in  std_logic;
					   StagnatePipelineDel	: in  std_logic;
					   FirstInstFetch		: in  std_logic;
					   -- Data out register and control(sign/zero, byte/halfword  extension) 
					   DataOut              : out std_logic_vector(31 downto 0);
					   SignExt				: in  std_logic;
					   ZeroExt				: in  std_logic;
					   nB_HW				: in  std_logic;
					   -- Immediate fields out
					   SExtOffset24Bit      : out std_logic_vector(31 downto 0);
                       Offset12Bit          : out std_logic_vector(31 downto 0);
                       Offset8Bit           : out std_logic_vector(31 downto 0);
                       Immediate8Bit        : out std_logic_vector(31 downto 0);
					   -- Bus control
					   EndianMode              : in  std_logic
					   );
end component;



-- Address register and incrementer
component AddressMux_Incrementer is port(
					   -- Clock and reset
				       nRESET             : in  std_logic; 
					   CLK                : in  std_logic;
					   CLKEN              : in  std_logic;
	                   -- Address and control
					   ADDR               : out std_logic_vector(31 downto 0);
				 	   FromPC		      : in  std_logic_vector(31 downto 0);
					   ToPC			      : out std_logic_vector(31 downto 0);
					   FromALU		      : in  std_logic_vector(31 downto 0);
					   ExceptionVector    : in  std_logic_vector(31 downto 0);
					   PCInSel		      : in  std_logic;
					   ALUInSel		      : in  std_logic;
					   ExceptionVectorSel : in  std_logic;
					   PCIncStep          : in  std_logic;
					   AdrIncStep		  : in  std_logic;
					   AdrToPCSel	      : in  std_logic;
					   AdrCntEn	          : in  std_logic
					                    );
					   
end component;

-- Data out register
component DataOutMux is port(
						-- Control signals
						StoreHalfWord : in  std_logic;
						StoreByte	  : in  std_logic;
						BigEndianMode : in  std_logic; 
						-- Data signals 
						DataIn        : in  std_logic_vector(31 downto 0);
						WDATA         : out std_logic_vector(31 downto 0)
						     );

end component;


-- Register for shift amount
component ShiftAmountReg is port(
	                   -- Clock and reset
				       nRESET     : in  std_logic; 
					   CLK        : in  std_logic;
					   CLKEN      : in  std_logic;                      
					   -- Data signals
					   ShLenRsIn  : in   std_logic_vector(7 downto 0);  -- Shift amount for register shift (value of Rs[7..0]) 
					   ShLenRsOut : out  std_logic_vector(7 downto 0)
					   );
end component;


-- A bus multiplexer
component ABusMultiplexer is port(
					   -- Data input
	                   RegFileAOut      : in  std_logic_vector(31 downto 0);
	                   MultiplierAOut   : in  std_logic_vector(31 downto 0);
					   CPSROut          : in  std_logic_vector(31 downto 0); 
					   SPSROut          : in  std_logic_vector(31 downto 0); 
					   -- Control
					   RegFileAOutSel    : in  std_logic;
	                   MultiplierAOutSel : in  std_logic;
					   CPSROutSel        : in  std_logic; 
					   SPSROutSel        : in  std_logic; 
					   -- Data output
					   ABusOut		    : out std_logic_vector(31 downto 0)
					          );
end component;

-- B bus multiplexer
component BBusMultiplexer is port(
					   -- Data input
	                   RegFileBOut       : in  std_logic_vector(31 downto 0);
	                   MultiplierBOut    : in  std_logic_vector(31 downto 0);
					   MemDataRegOut     : in  std_logic_vector(31 downto 0);
					   AdrGenDataOut	 : in  std_logic_vector(31 downto 0);
					   -- Immediate fields
					   SExtOffset24Bit   : in  std_logic_vector(31 downto 0);
                       Offset12Bit       : in  std_logic_vector(31 downto 0);
                       Offset8Bit        : in  std_logic_vector(31 downto 0);
                       Immediate8Bit     : in  std_logic_vector(31 downto 0);
					   -- Control
					   RegFileBOutSel     : in  std_logic;	-- Output of the register file
	                   MultiplierBOutSel  : in  std_logic;	-- Output of the multiplier
					   MemDataRegOutSel   : in  std_logic;	-- Output of the data in register
					   SExtOffset24BitSel : in  std_logic;
                       Offset12BitSel     : in  std_logic;
                       Offset8BitSel      : in  std_logic;
                       Immediate8BitSel   : in  std_logic;
					   AdrGenDataSel		 : in  std_logic;
					   -- Data output
					   BBusOut		     : out std_logic_vector(31 downto 0)	 -- Connected to the input of the shifter
					          );
end component;

-- Address generator for load/store
component LSAdrGen is port (
						-- Global control signals

?? 快捷鍵說明

復制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
综合久久久久久| 色综合久久综合网| 亚洲尤物视频在线| 亚洲天堂福利av| 中文成人综合网| 日本一区二区三区四区在线视频| 日韩一区二区三区视频在线 | 精品成人在线观看| 日韩免费一区二区三区在线播放| 欧美一区二区三区视频在线| 欧美一级久久久| 精品国产sm最大网站免费看| 精品国产一区二区三区av性色 | 丁香五精品蜜臀久久久久99网站| 国产精品中文字幕日韩精品| 国产成人免费视频网站| 豆国产96在线|亚洲| av激情亚洲男人天堂| 91天堂素人约啪| 欧美日韩三级一区| 91精品国产手机| 久久精子c满五个校花| 中文字幕日韩欧美一区二区三区| 亚洲欧美二区三区| 午夜视频在线观看一区二区| 精品一区精品二区高清| 丁香天五香天堂综合| 91官网在线免费观看| 在线成人av影院| 久久精子c满五个校花| 亚洲一区二区三区自拍| 免费观看在线色综合| 国产suv精品一区二区6| 色av一区二区| 欧美精品一区二区三区蜜桃视频| 亚洲欧洲国产专区| 免费观看成人av| 99re热这里只有精品免费视频| 欧美午夜精品理论片a级按摩| 精品久久人人做人人爱| 国产精品久久看| 麻豆精品一区二区三区| 91啪在线观看| 久久亚洲一级片| 洋洋av久久久久久久一区| 久久精品国产亚洲5555| 色猫猫国产区一区二在线视频| 日韩久久久久久| 亚洲影院理伦片| 国产91清纯白嫩初高中在线观看| 欧美日韩精品一区二区三区四区| 欧美激情一区二区三区在线| 婷婷综合另类小说色区| 99国产精品久久| 欧美精品一区二区三区四区| 亚洲午夜日本在线观看| 成人黄色小视频在线观看| 在线成人免费观看| 一区二区激情视频| 99久久国产综合精品色伊| 26uuu国产日韩综合| 免费观看91视频大全| 在线观看91精品国产入口| 中文字幕日本乱码精品影院| 国产精品一线二线三线| 日韩欧美自拍偷拍| 亚洲国产欧美在线| 91久久精品国产91性色tv| 国产精品私人影院| 国产成人精品网址| 久久视频一区二区| 激情五月播播久久久精品| 欧美一区二区三区在线| 午夜视频一区在线观看| 欧美伊人久久久久久久久影院 | 久久久久综合网| 美女尤物国产一区| 日韩精品专区在线影院观看| 日本欧美一区二区在线观看| 5858s免费视频成人| 五月婷婷久久综合| 制服丝袜国产精品| 美女视频黄免费的久久 | 一本色道**综合亚洲精品蜜桃冫| 久久蜜桃香蕉精品一区二区三区| 国产一区二区不卡在线| 久久久噜噜噜久久中文字幕色伊伊| 久久99国产精品久久99果冻传媒| 精品国产一区二区三区忘忧草 | 成人深夜福利app| 欧美一级xxx| 韩国v欧美v亚洲v日本v| 日韩丝袜美女视频| 蜜臀av性久久久久蜜臀aⅴ| 69p69国产精品| 久久激五月天综合精品| 欧美午夜精品一区二区蜜桃| 蜜臀av性久久久久蜜臀aⅴ四虎| 欧美高清视频不卡网| 亚洲va在线va天堂| 欧美一区二区三区男人的天堂| 一区二区三区在线视频免费| 91视视频在线观看入口直接观看www | 偷拍与自拍一区| 在线播放一区二区三区| 亚洲国产日韩一级| 欧美一区二区三区四区五区| 韩国理伦片一区二区三区在线播放 | 粉嫩av亚洲一区二区图片| 欧美国产日本韩| 不卡一区二区三区四区| 亚洲一区自拍偷拍| 欧美一级片免费看| 国产在线精品国自产拍免费| 国产精品全国免费观看高清 | 色婷婷久久久综合中文字幕| 日韩av在线免费观看不卡| 日韩一级精品视频在线观看| 极品少妇xxxx偷拍精品少妇| 国产精品视频免费看| 欧美这里有精品| 精品一区二区三区在线播放| 欧美激情在线一区二区三区| 5858s免费视频成人| 成人免费视频caoporn| 亚洲国产一区二区视频| 精品美女一区二区三区| 91视频com| 日本在线不卡视频| 亚洲国产精品99久久久久久久久| 在线亚洲人成电影网站色www| 亚洲国产va精品久久久不卡综合| 精品国产免费久久| 91黄色在线观看| 国产一区二区毛片| 亚洲免费资源在线播放| 中文字幕第一区二区| 91精品欧美福利在线观看| 国产乱码精品一区二区三区av | 自拍偷拍亚洲激情| 精品国产电影一区二区| 欧美在线一二三四区| 国产盗摄视频一区二区三区| 免费人成精品欧美精品| 一个色在线综合| 欧美激情综合在线| 日韩女优电影在线观看| 欧美日韩亚洲综合在线| 另类综合日韩欧美亚洲| 日韩成人午夜精品| 一区二区三区毛片| 国产精品久久久久一区二区三区 | 三级成人在线视频| 日韩欧美国产不卡| 日韩一区二区在线播放| 欧美日韩国产乱码电影| 日本国产一区二区| 成人黄动漫网站免费app| 九一九一国产精品| 免费人成网站在线观看欧美高清| 亚洲国产成人高清精品| 亚洲制服欧美中文字幕中文字幕| 国产精品电影院| 中文字幕av一区二区三区| 久久久亚洲高清| 中文字幕久久午夜不卡| 欧美国产精品专区| 国产欧美日韩综合精品一区二区| 日韩精品一区二区三区四区| 日韩一区二区三区三四区视频在线观看 | 日韩色在线观看| 欧美日韩国产高清一区| 欧美日韩综合在线免费观看| 色综合久久天天综合网| 91丨九色丨蝌蚪富婆spa| 91女厕偷拍女厕偷拍高清| 欧美日韩在线播| 欧美视频自拍偷拍| 欧美日韩国产小视频在线观看| 欧美精品色一区二区三区| 欧美美女网站色| 欧美性生活久久| 欧美变态口味重另类| xvideos.蜜桃一区二区| 精品国产一区二区三区忘忧草| 久久久久久麻豆| 亚洲视频在线一区观看| 国产拍揄自揄精品视频麻豆| 亚洲人成网站色在线观看| 亚洲综合视频网| 蜜桃av一区二区在线观看| 国产精品一卡二卡在线观看| 激情欧美一区二区| 欧美系列一区二区| 91麻豆精品国产91久久久资源速度 | 日韩欧美国产一二三区| 欧美国产日产图区| 日一区二区三区| 国产成人一区二区精品非洲| 日本精品一区二区三区高清|