亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關于我們
? 蟲蟲下載站

?? rom_1024x8.vhd

?? Xilinx Ise 官方源代碼盤 第四章
?? VHD
?? 第 1 頁 / 共 4 頁
字號:
-------------------------------------------------------------------------------
--                     ROM inference using Synplify                          --
--                        (BlockRAM ROM example)                             --
-------------------------------------------------------------------------------
--
-- GENERAL:
--   Synplify infers ROM when all assignment values are constants in a
--   "case" or "if...else" statement
--
-- Virtex-II ROM resources:
--     - Logic
--     - Distributed ROM (Primitives: ROM16X1, ROM32X1, ROM64X1, ROM128X1,
--           ROM256X1)
--     - BlockRAM (Primitives: RAMB16...)
-- Different ROMs:
--     - asynchronous (Mapped to: Logic or Distributed ROM)
--     - synchronous  (Mapped to: Logic, Distribute ROM or BlockRAM)
--          - Clock enable
--          - Reset (output buffer)
--          - Dual port (BlockRAM only - instanciation only)
--
-- NOTES:
--     - Mapping ROM into Distributed ROM (Synplify default)
--          - At least half the available addresses must be assigned a value
--     - Mapping ROM to BlockRAM will be available in Synplify 7.1
--          - either addresses or outputs of the ROM should be registered
--          - memory block should be more than 256 different addresses
-- Log file message:
--     - Synplicity Xilinx Technology Mapper section
--          @N|Packed ROM romsig[7:0] (10 input, 8 output) to Block SelectRAM
-- Resource Usage Report section (RAM/ROM usage summary)
--          RAMB16_S18      1 use
-------------------------------------------------------------------------------
-- Example: Synchronous 1024X8 ROM mapped to BlockRAM
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;

entity romtest is port (
  clk    : in  std_logic;
  input  : in  std_logic_vector(9 downto 0);
  output : out std_logic_vector(7 downto 0));
end romtest;

architecture beh of romtest is

  signal registers : std_logic_vector(7 downto 0);
  signal romsig    : std_logic_vector(7 downto 0);

--  attribute syn_romstyle           : string;
--  attribute syn_romstyle of romsig : signal is "block_ram";
  -- value: "select_rom" forces Distributed ROM implementation (default)
  -- value: "logic"      forces Logic       ROM implementation
  -- value: "block_ram"  forces BlockRAM    ROM implementation (available in 7.1)

begin

  process (input)
  begin
    case ( input ) is
      when B"00" & X"00" => romsig <= X"00";
      when B"00" & X"01" => romsig <= X"01";
      when B"00" & X"02" => romsig <= X"05";
      when B"00" & X"03" => romsig <= X"0d";
      when B"00" & X"04" => romsig <= X"1b";
      when B"00" & X"05" => romsig <= X"32";
      when B"00" & X"06" => romsig <= X"4f";
      when B"00" & X"07" => romsig <= X"6f";
      when B"00" & X"08" => romsig <= X"8f";
      when B"00" & X"09" => romsig <= X"af";
      when B"00" & X"0a" => romsig <= X"cf";
      when B"00" & X"0b" => romsig <= X"ee";
      when B"00" & X"0c" => romsig <= X"e5";
      when B"00" & X"0d" => romsig <= X"e5";
      when B"00" & X"0e" => romsig <= X"e5";
      when B"00" & X"0f" => romsig <= X"01";
      when B"00" & X"10" => romsig <= X"02";
      when B"00" & X"11" => romsig <= X"00";
      when B"00" & X"12" => romsig <= X"06";
      when B"00" & X"13" => romsig <= X"0e";
      when B"00" & X"14" => romsig <= X"1c";
      when B"00" & X"15" => romsig <= X"33";
      when B"00" & X"16" => romsig <= X"50";
      when B"00" & X"17" => romsig <= X"70";
      when B"00" & X"18" => romsig <= X"90";
      when B"00" & X"19" => romsig <= X"b0";
      when B"00" & X"1a" => romsig <= X"d0";
      when B"00" & X"1b" => romsig <= X"ee";
      when B"00" & X"1c" => romsig <= X"e6";
      when B"00" & X"1d" => romsig <= X"e6";
      when B"00" & X"1e" => romsig <= X"02";
      when B"00" & X"1f" => romsig <= X"03";
      when B"00" & X"20" => romsig <= X"01";
      when B"00" & X"21" => romsig <= X"07";
      when B"00" & X"22" => romsig <= X"0f";
      when B"00" & X"23" => romsig <= X"1d";
      when B"00" & X"24" => romsig <= X"34";
      when B"00" & X"25" => romsig <= X"51";
      when B"00" & X"26" => romsig <= X"71";
      when B"00" & X"27" => romsig <= X"91";
      when B"00" & X"28" => romsig <= X"b1";
      when B"00" & X"29" => romsig <= X"d1";
      when B"00" & X"2a" => romsig <= X"ef";
      when B"00" & X"2b" => romsig <= X"e7";
      when B"00" & X"2c" => romsig <= X"e7";
      when B"00" & X"2d" => romsig <= X"03";
      when B"00" & X"2e" => romsig <= X"04";
      when B"00" & X"2f" => romsig <= X"02";
      when B"00" & X"30" => romsig <= X"08";
      when B"00" & X"31" => romsig <= X"10";
      when B"00" & X"32" => romsig <= X"1e";
      when B"00" & X"33" => romsig <= X"35";
      when B"00" & X"34" => romsig <= X"52";
      when B"00" & X"35" => romsig <= X"72";
      when B"00" & X"36" => romsig <= X"92";
      when B"00" & X"37" => romsig <= X"b2";
      when B"00" & X"38" => romsig <= X"d2";
      when B"00" & X"39" => romsig <= X"f0";
      when B"00" & X"3a" => romsig <= X"e8";
      when B"00" & X"3b" => romsig <= X"e8";
      when B"00" & X"3c" => romsig <= X"04";
      when B"00" & X"3d" => romsig <= X"05";
      when B"00" & X"3e" => romsig <= X"03";
      when B"00" & X"3f" => romsig <= X"09";
      when B"00" & X"40" => romsig <= X"01";
      when B"00" & X"41" => romsig <= X"11";
      when B"00" & X"42" => romsig <= X"1f";
      when B"00" & X"43" => romsig <= X"36";
      when B"00" & X"44" => romsig <= X"53";
      when B"00" & X"45" => romsig <= X"73";
      when B"00" & X"46" => romsig <= X"93";
      when B"00" & X"47" => romsig <= X"b3";
      when B"00" & X"48" => romsig <= X"d3";
      when B"00" & X"49" => romsig <= X"f0";
      when B"00" & X"4a" => romsig <= X"e9";
      when B"00" & X"4b" => romsig <= X"05";
      when B"00" & X"4c" => romsig <= X"06";
      when B"00" & X"4d" => romsig <= X"04";
      when B"00" & X"4e" => romsig <= X"0a";
      when B"00" & X"4f" => romsig <= X"01";
      when B"00" & X"50" => romsig <= X"12";
      when B"00" & X"51" => romsig <= X"20";
      when B"00" & X"52" => romsig <= X"37";
      when B"00" & X"53" => romsig <= X"54";
      when B"00" & X"54" => romsig <= X"74";
      when B"00" & X"55" => romsig <= X"94";
      when B"00" & X"56" => romsig <= X"b4";
      when B"00" & X"57" => romsig <= X"d4";
      when B"00" & X"58" => romsig <= X"f1";
      when B"00" & X"59" => romsig <= X"ea";
      when B"00" & X"5a" => romsig <= X"06";
      when B"00" & X"5b" => romsig <= X"07";
      when B"00" & X"5c" => romsig <= X"05";
      when B"00" & X"5d" => romsig <= X"0b";
      when B"00" & X"5e" => romsig <= X"02";
      when B"00" & X"5f" => romsig <= X"13";
      when B"00" & X"60" => romsig <= X"21";
      when B"00" & X"61" => romsig <= X"38";
      when B"00" & X"62" => romsig <= X"55";
      when B"00" & X"63" => romsig <= X"75";
      when B"00" & X"64" => romsig <= X"95";
      when B"00" & X"65" => romsig <= X"b5";
      when B"00" & X"66" => romsig <= X"d5";
      when B"00" & X"67" => romsig <= X"f2";
      when B"00" & X"68" => romsig <= X"eb";
      when B"00" & X"69" => romsig <= X"07";
      when B"00" & X"6a" => romsig <= X"08";
      when B"00" & X"6b" => romsig <= X"06";
      when B"00" & X"6c" => romsig <= X"0c";
      when B"00" & X"6d" => romsig <= X"03";
      when B"00" & X"6e" => romsig <= X"14";
      when B"00" & X"6f" => romsig <= X"22";
      when B"00" & X"70" => romsig <= X"39";
      when B"00" & X"71" => romsig <= X"56";
      when B"00" & X"72" => romsig <= X"76";
      when B"00" & X"73" => romsig <= X"96";
      when B"00" & X"74" => romsig <= X"b6";
      when B"00" & X"75" => romsig <= X"d6";
      when B"00" & X"76" => romsig <= X"f2";
      when B"00" & X"77" => romsig <= X"ec";
      when B"00" & X"78" => romsig <= X"08";
      when B"00" & X"79" => romsig <= X"09";
      when B"00" & X"7a" => romsig <= X"07";
      when B"00" & X"7b" => romsig <= X"0d";
      when B"00" & X"7c" => romsig <= X"03";
      when B"00" & X"7d" => romsig <= X"15";
      when B"00" & X"7e" => romsig <= X"23";
      when B"00" & X"7f" => romsig <= X"3a";
      when B"00" & X"80" => romsig <= X"57";
      when B"00" & X"81" => romsig <= X"77";
      when B"00" & X"82" => romsig <= X"97";
      when B"00" & X"83" => romsig <= X"b7";
      when B"00" & X"84" => romsig <= X"d7";
      when B"00" & X"85" => romsig <= X"f3";
      when B"00" & X"86" => romsig <= X"ed";
      when B"00" & X"87" => romsig <= X"09";
      when B"00" & X"88" => romsig <= X"0a";
      when B"00" & X"89" => romsig <= X"08";
      when B"00" & X"8a" => romsig <= X"0e";
      when B"00" & X"8b" => romsig <= X"04";
      when B"00" & X"8c" => romsig <= X"16";
      when B"00" & X"8d" => romsig <= X"24";
      when B"00" & X"8e" => romsig <= X"3b";
      when B"00" & X"8f" => romsig <= X"58";
      when B"00" & X"90" => romsig <= X"78";
      when B"00" & X"91" => romsig <= X"98";
      when B"00" & X"92" => romsig <= X"b8";
      when B"00" & X"93" => romsig <= X"d8";
      when B"00" & X"94" => romsig <= X"f4";
      when B"00" & X"95" => romsig <= X"ee";
      when B"00" & X"96" => romsig <= X"0a";
      when B"00" & X"97" => romsig <= X"0b";
      when B"00" & X"98" => romsig <= X"09";
      when B"00" & X"99" => romsig <= X"0f";
      when B"00" & X"9a" => romsig <= X"05";
      when B"00" & X"9b" => romsig <= X"17";
      when B"00" & X"9c" => romsig <= X"25";
      when B"00" & X"9d" => romsig <= X"3c";
      when B"00" & X"9e" => romsig <= X"59";
      when B"00" & X"9f" => romsig <= X"79";
      when B"00" & X"a0" => romsig <= X"99";
      when B"00" & X"a1" => romsig <= X"b9";
      when B"00" & X"a2" => romsig <= X"d9";
      when B"00" & X"a3" => romsig <= X"f4";
      when B"00" & X"a4" => romsig <= X"ef";
      when B"00" & X"a5" => romsig <= X"0b";
      when B"00" & X"a6" => romsig <= X"0c";
      when B"00" & X"a7" => romsig <= X"0a";
      when B"00" & X"a8" => romsig <= X"10";
      when B"00" & X"a9" => romsig <= X"05";
      when B"00" & X"aa" => romsig <= X"18";
      when B"00" & X"ab" => romsig <= X"26";
      when B"00" & X"ac" => romsig <= X"3d";
      when B"00" & X"ad" => romsig <= X"5a";
      when B"00" & X"ae" => romsig <= X"7a";
      when B"00" & X"af" => romsig <= X"9a";
      when B"00" & X"b0" => romsig <= X"ba";
      when B"00" & X"b1" => romsig <= X"da";
      when B"00" & X"b2" => romsig <= X"f5";
      when B"00" & X"b3" => romsig <= X"f0";
      when B"00" & X"b4" => romsig <= X"0c";
      when B"00" & X"b5" => romsig <= X"0d";
      when B"00" & X"b6" => romsig <= X"0b";
      when B"00" & X"b7" => romsig <= X"11";
      when B"00" & X"b8" => romsig <= X"07";
      when B"00" & X"b9" => romsig <= X"19";
      when B"00" & X"ba" => romsig <= X"02";
      when B"00" & X"bb" => romsig <= X"27";
      when B"00" & X"bc" => romsig <= X"3e";
      when B"00" & X"bd" => romsig <= X"5b";
      when B"00" & X"be" => romsig <= X"7b";
      when B"00" & X"bf" => romsig <= X"9b";
      when B"00" & X"c0" => romsig <= X"bb";
      when B"00" & X"c1" => romsig <= X"e0";
      when B"00" & X"c2" => romsig <= X"d1";
      when B"00" & X"c3" => romsig <= X"0d";
      when B"00" & X"c4" => romsig <= X"0e";
      when B"00" & X"c5" => romsig <= X"0c";
      when B"00" & X"c6" => romsig <= X"12";
      when B"00" & X"c7" => romsig <= X"08";
      when B"00" & X"c8" => romsig <= X"1a";
      when B"00" & X"c9" => romsig <= X"03";
      when B"00" & X"ca" => romsig <= X"28";
      when B"00" & X"cb" => romsig <= X"3f";
      when B"00" & X"cc" => romsig <= X"5c";
      when B"00" & X"cd" => romsig <= X"7c";
      when B"00" & X"ce" => romsig <= X"9c";
      when B"00" & X"cf" => romsig <= X"bc";
      when B"00" & X"d0" => romsig <= X"e1";
      when B"00" & X"d1" => romsig <= X"d2";
      when B"00" & X"d2" => romsig <= X"0e";
      when B"00" & X"d3" => romsig <= X"0f";
      when B"00" & X"d4" => romsig <= X"0d";
      when B"00" & X"d5" => romsig <= X"13";
      when B"00" & X"d6" => romsig <= X"09";

?? 快捷鍵說明

復制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
粉嫩高潮美女一区二区三区 | 51精品国自产在线| 8x福利精品第一导航| 欧美r级在线观看| 亚洲精选在线视频| 久久精品99国产精品| 97精品久久久午夜一区二区三区| 欧美日韩精品一区二区三区| 日本一区免费视频| 三级成人在线视频| 国产专区综合网| 欧美中文字幕一区| 国产网站一区二区三区| 五月天丁香久久| 国产成人av在线影院| 欧美mv日韩mv国产网站app| 亚洲综合在线第一页| 99国产精品99久久久久久| 亚洲精品一区二区三区福利| 另类欧美日韩国产在线| 欧美日韩免费不卡视频一区二区三区| 中文字幕在线不卡一区| 国产999精品久久久久久绿帽| 日韩视频在线你懂得| 视频一区二区中文字幕| 欧美日韩国产一二三| 亚洲精品国产成人久久av盗摄| 99精品黄色片免费大全| 中文字幕一区二区在线播放 | 色综合视频在线观看| 国产欧美一区二区三区网站| 久久成人18免费观看| 日韩一区二区三区av| 免费日韩伦理电影| 日韩一区二区三区在线观看| 免费人成在线不卡| 久久综合国产精品| 国产成人欧美日韩在线电影 | 亚洲精品在线观看视频| 国产自产视频一区二区三区| 欧美精品一区二| 成人午夜私人影院| 国产精品白丝在线| 欧美自拍丝袜亚洲| 日产国产高清一区二区三区 | 亚洲国产人成综合网站| 91精品国产综合久久福利| 日韩激情在线观看| 欧美精品一区二区三区蜜桃| 国产一区二区伦理片| 中文字幕中文乱码欧美一区二区 | 日韩在线一区二区三区| 日韩精品一区二区三区swag| 国产a精品视频| 亚洲色图在线播放| 91精品国产免费久久综合| 国产资源精品在线观看| 1024国产精品| 在线播放国产精品二区一二区四区| 奇米精品一区二区三区在线观看| 久久久综合视频| 色av成人天堂桃色av| 毛片基地黄久久久久久天堂| 欧美国产精品久久| 欧美日韩不卡视频| 成人在线综合网站| 午夜精品久久久久久久| 久久久亚洲精华液精华液精华液| 色狠狠桃花综合| 久久99精品久久久久久国产越南| ...xxx性欧美| 精品处破学生在线二十三| 一本高清dvd不卡在线观看| 麻豆精品国产传媒mv男同| 亚洲图片欧美激情| 精品乱码亚洲一区二区不卡| 91老师国产黑色丝袜在线| 久久综合综合久久综合| 亚洲三级理论片| 亚洲精品一区二区三区影院| 欧美视频在线不卡| 国产一区二区三区四| 亚洲成人免费影院| 国产精品久久一卡二卡| xfplay精品久久| 欧美日韩久久久| 91久久久免费一区二区| 国产91精品一区二区麻豆网站| 亚洲第一狼人社区| 日韩久久一区二区| 国产欧美日韩综合精品一区二区| 91精品国产综合久久精品麻豆| jlzzjlzz亚洲日本少妇| 国产精品综合一区二区三区| 美女一区二区视频| 亚洲国产一区二区三区| 亚洲精品一二三| 中文字幕日本不卡| 亚洲国产精品黑人久久久| 亚洲精品一区二区三区在线观看| 91精品国产综合久久婷婷香蕉 | 国产一区二区三区视频在线播放| 亚洲第四色夜色| 亚洲综合激情网| 一区二区三区在线视频观看| 日本一区二区高清| 国产日本一区二区| 国产色91在线| 国产欧美精品国产国产专区| 精品88久久久久88久久久 | 欧美日韩极品在线观看一区| 色94色欧美sute亚洲13| 91老师国产黑色丝袜在线| www.日韩大片| caoporn国产精品| 94-欧美-setu| 欧美在线看片a免费观看| 在线亚洲高清视频| 欧美天堂一区二区三区| 欧美精品乱码久久久久久| 欧美精品第1页| 日韩一区二区在线观看| 久久青草欧美一区二区三区| 中国色在线观看另类| 亚洲欧洲99久久| 亚洲成人在线免费| 免费成人结看片| 国产精品羞羞答答xxdd| 99久久伊人久久99| 在线观看中文字幕不卡| 欧美一二三四在线| 久久精品在线免费观看| 国产精品久久久久国产精品日日| 亚洲免费观看高清完整版在线 | 国产不卡视频一区二区三区| 成人免费视频网站在线观看| av不卡一区二区三区| 91天堂素人约啪| 97久久超碰精品国产| 91精品国产品国语在线不卡| 日韩一级欧美一级| 精品国产乱码久久久久久蜜臀| 99免费精品在线| 欧美一区二区三区小说| 欧美日韩小视频| 精品欧美一区二区三区精品久久| 精品国产免费久久 | 色又黄又爽网站www久久| 4hu四虎永久在线影院成人| 精品美女一区二区| 国产精品盗摄一区二区三区| 一区二区国产视频| 国产激情一区二区三区四区 | 精品一区二区精品| 福利91精品一区二区三区| 色综合天天做天天爱| 欧美剧情片在线观看| 久久久久国产精品人| 亚洲精品国产精华液| 婷婷国产v国产偷v亚洲高清| 国产精品一区二区黑丝| 91精品蜜臀在线一区尤物| 国产欧美日韩不卡| 午夜欧美在线一二页| gogogo免费视频观看亚洲一| 欧美日韩午夜影院| 中文字幕va一区二区三区| 亚洲国产裸拍裸体视频在线观看乱了| 懂色av中文一区二区三区| 欧美日韩高清一区二区三区| 国产性色一区二区| 丝袜亚洲另类欧美| 成人av网站免费| 欧美成人官网二区| 一区二区免费在线播放| 韩国v欧美v亚洲v日本v| 欧美色综合天天久久综合精品| 精品美女一区二区| 蜜桃av噜噜一区| 在线观看视频91| 国产人久久人人人人爽| 国产一区二区在线观看免费| 精品视频在线免费看| 自拍偷自拍亚洲精品播放| 久久99久久久久| 日韩欧美一级在线播放| 亚洲成人av在线电影| av电影天堂一区二区在线| 国产精品第13页| 国产一区二区不卡老阿姨| 欧美日韩1234| 亚洲精品福利视频网站| 高清成人免费视频| 日韩小视频在线观看专区| 夜夜爽夜夜爽精品视频| 欧美影视一区在线| 又紧又大又爽精品一区二区| 本田岬高潮一区二区三区| 久久久久久久久久久久久夜| 欧美a级理论片|