?? psk.vhd
字號:
library ieee;
use ieee.std_logic_1164.all;
entity psk is
port(code:in std_logic;
q:in std_logic_vector(1 downto 0);
p6b:out std_logic_vector(5 downto 0));
end;
architecture one of psk is
begin
process(q)
begin
if q="11" and code='1' then p6b<="100000";
else p6b<="000000";
end if;
end process;
end;
?? 快捷鍵說明
復制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號
Ctrl + =
減小字號
Ctrl + -