亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關于我們
? 蟲蟲下載站

?? gwtwave.ant

?? 基于FPGA的波束成型
?? ANT
字號:
////////////////////////////////////////////////////////////////////////////////
// Copyright (c) 1995-2003 Xilinx, Inc.
// All Right Reserved.
////////////////////////////////////////////////////////////////////////////////
//   ____  ____ 
//  /   /\/   / 
// /___/  \  /    Vendor: Xilinx 
// \   \   \/     Version : 7.1.03i
//  \   \         Application : ISE Foundation
//  /   /         Filename : gwtwave.ant
// /___/   /\     Timestamp : Sun Jun 03 20:11:51 2007
// \   \  /  \ 
//  \___\/\___\ 
//
//Command: 
//Design Name: gwtwave
//Device: Xilinx
//
`timescale 1ns/1ps

module gwtwave;
    reg [15:0] mux2 = 16'b0000000000000000;
    reg [15:0] der = 16'b0000000000000000;
    reg [15:0] dei = 16'b0000000000000000;
    reg [15:0] w0r = 16'b0000000000000000;
    reg [15:0] w0i = 16'b0000000000000000;
    reg [15:0] w1r = 16'b0000000000000000;
    reg [15:0] w1i = 16'b0000000000000000;
    reg [15:0] w2r = 16'b0000000000000000;
    reg [15:0] w2i = 16'b0000000000000000;
    reg [15:0] w3r = 16'b0000000000000000;
    reg [15:0] w3i = 16'b0000000000000000;
    reg [15:0] w4r = 16'b0000000000000000;
    reg [15:0] w4i = 16'b0000000000000000;
    reg [15:0] w5r = 16'b0000000000000000;
    reg [15:0] w5i = 16'b0000000000000000;
    reg [15:0] w6r = 16'b0000000000000000;
    reg [15:0] w6i = 16'b0000000000000000;
    reg [15:0] w7r = 16'b0000000000000000;
    reg [15:0] w7i = 16'b0000000000000000;
    reg [15:0] yx0r = 16'b0000000000000000;
    reg [15:0] yx0i = 16'b0000000000000000;
    reg [15:0] yx1r = 16'b0000000000000000;
    reg [15:0] yx1i = 16'b0000000000000000;
    reg [15:0] yx2r = 16'b0000000000000000;
    reg [15:0] yx2i = 16'b0000000000000000;
    reg [15:0] yx3r = 16'b0000000000000000;
    reg [15:0] yx3i = 16'b0000000000000000;
    reg [15:0] yx4r = 16'b0000000000000000;
    reg [15:0] yx4i = 16'b0000000000000000;
    reg [15:0] yx5r = 16'b0000000000000000;
    reg [15:0] yx5i = 16'b0000000000000000;
    reg [15:0] yx6r = 16'b0000000000000000;
    reg [15:0] yx6i = 16'b0000000000000000;
    reg [15:0] yx7r = 16'b0000000000000000;
    reg [15:0] yx7i = 16'b0000000000000000;
    wire [15:0] wout0r;
    wire [15:0] wout0i;
    wire [15:0] wout1r;
    wire [15:0] wout1i;
    wire [15:0] wout2r;
    wire [15:0] wout2i;
    wire [15:0] wout3r;
    wire [15:0] wout3i;
    wire [15:0] wout4r;
    wire [15:0] wout4i;
    wire [15:0] wout5r;
    wire [15:0] wout5i;
    wire [15:0] wout6r;
    wire [15:0] wout6i;
    wire [15:0] wout7r;
    wire [15:0] wout7i;
    reg clk = 1'b0;
    reg start = 1'b0;
    wire rdy;

    parameter PERIOD = 200;
    parameter real DUTY_CYCLE = 0.5;
    parameter OFFSET = 0;

    initial    // Clock process for clk
    begin
        #OFFSET;
        forever
        begin
            clk = 1'b0;
            #(PERIOD-(PERIOD*DUTY_CYCLE)) clk = 1'b1;
            #(PERIOD*DUTY_CYCLE);
        end
    end

    gwt UUT (
        .mux2(mux2),
        .der(der),
        .dei(dei),
        .w0r(w0r),
        .w0i(w0i),
        .w1r(w1r),
        .w1i(w1i),
        .w2r(w2r),
        .w2i(w2i),
        .w3r(w3r),
        .w3i(w3i),
        .w4r(w4r),
        .w4i(w4i),
        .w5r(w5r),
        .w5i(w5i),
        .w6r(w6r),
        .w6i(w6i),
        .w7r(w7r),
        .w7i(w7i),
        .yx0r(yx0r),
        .yx0i(yx0i),
        .yx1r(yx1r),
        .yx1i(yx1i),
        .yx2r(yx2r),
        .yx2i(yx2i),
        .yx3r(yx3r),
        .yx3i(yx3i),
        .yx4r(yx4r),
        .yx4i(yx4i),
        .yx5r(yx5r),
        .yx5i(yx5i),
        .yx6r(yx6r),
        .yx6i(yx6i),
        .yx7r(yx7r),
        .yx7i(yx7i),
        .wout0r(wout0r),
        .wout0i(wout0i),
        .wout1r(wout1r),
        .wout1i(wout1i),
        .wout2r(wout2r),
        .wout2i(wout2i),
        .wout3r(wout3r),
        .wout3i(wout3i),
        .wout4r(wout4r),
        .wout4i(wout4i),
        .wout5r(wout5r),
        .wout5i(wout5i),
        .wout6r(wout6r),
        .wout6i(wout6i),
        .wout7r(wout7r),
        .wout7i(wout7i),
        .clk(clk),
        .start(start),
        .rdy(rdy));

    integer TX_FILE = 0;
    integer TX_ERROR = 0;
    
    initial begin    // Annotation process for clock clk
        #0;
        ANNOTATE_wout0r;
        ANNOTATE_wout0i;
        ANNOTATE_wout1r;
        ANNOTATE_wout1i;
        ANNOTATE_wout2r;
        ANNOTATE_wout2i;
        ANNOTATE_wout3r;
        ANNOTATE_wout3i;
        ANNOTATE_wout4r;
        ANNOTATE_wout4i;
        ANNOTATE_wout5r;
        ANNOTATE_wout5i;
        ANNOTATE_wout6r;
        ANNOTATE_wout6i;
        ANNOTATE_wout7r;
        ANNOTATE_wout7i;
        ANNOTATE_rdy;
        #OFFSET;
        forever begin
            #115;
            ANNOTATE_wout0r;
            ANNOTATE_wout0i;
            ANNOTATE_wout1r;
            ANNOTATE_wout1i;
            ANNOTATE_wout2r;
            ANNOTATE_wout2i;
            ANNOTATE_wout3r;
            ANNOTATE_wout3i;
            ANNOTATE_wout4r;
            ANNOTATE_wout4i;
            ANNOTATE_wout5r;
            ANNOTATE_wout5i;
            ANNOTATE_wout6r;
            ANNOTATE_wout6i;
            ANNOTATE_wout7r;
            ANNOTATE_wout7i;
            ANNOTATE_rdy;
            #85;
        end
    end

    initial begin  // Open the annotations file...
        TX_FILE = $fopen("F:\\myfpga\\xilinx\\gwopt\\gwtwave.ano");
        #10200 // Final time:  10200 ns
        $display("Success! Annotation Simulation Complete.");
        $fdisplay(TX_FILE, "Total[%d]", TX_ERROR);
        $fclose(TX_FILE);
        $finish;
    end

    initial begin
        // -------------  Current Time:  85ns
        #85;
        mux2 = 16'b0000000000001000;
        der = 16'b0011000000011110;
        w0r = 16'b0000000010010111;
        w0i = 16'b1111111111100101;
        w3r = 16'b1111111110010110;
        w3i = 16'b1111111110110000;
        // -------------------------------------
        // -------------  Current Time:  285ns
        #200;
        dei = 16'b0000111110100010;
        w1r = 16'b0000000000111110;
        w1i = 16'b0000000000001111;
        w2r = 16'b1111111111100100;
        w2i = 16'b1111111111111011;
        w4r = 16'b1111111110001001;
        w4i = 16'b1111111110001000;
        w5r = 16'b1111111111110111;
        w5i = 16'b1111111110101100;
        w6r = 16'b0000000000011100;
        w6i = 16'b0000000000100001;
        w7r = 16'b1111111111101110;
        w7i = 16'b0000000010000001;
        yx0r = 16'b0110001111101110;
        yx0i = 16'b0010000011100011;
        yx1r = 16'b0011110001100110;
        yx1i = 16'b0010110100010111;
        yx2r = 16'b1111101010011011;
        yx2i = 16'b1111101101010001;
        yx3r = 16'b1110011000000011;
        yx3i = 16'b1011111101001110;
        yx4r = 16'b1110010110000001;
        yx4i = 16'b1001101101101111;
        yx5r = 16'b0001110011010000;
        yx5i = 16'b1100111010010001;
        yx6r = 16'b1111100110100011;
        yx6i = 16'b0001110111011001;
        yx7r = 16'b1101001011101000;
        yx7i = 16'b0011011000110000;
        // -------------------------------------
        // -------------  Current Time:  685ns
        #400;
        start = 1'b1;
        // -------------------------------------
    end

    task ANNOTATE_wout0r;
        #0 begin
            $fdisplay(TX_FILE, "Annotate[%d,wout0r,%b]", $time, wout0r);
            $fflush(TX_FILE);
            TX_ERROR = TX_ERROR + 1;
        end
    endtask

    task ANNOTATE_wout0i;
        #0 begin
            $fdisplay(TX_FILE, "Annotate[%d,wout0i,%b]", $time, wout0i);
            $fflush(TX_FILE);
            TX_ERROR = TX_ERROR + 1;
        end
    endtask

    task ANNOTATE_wout1r;
        #0 begin
            $fdisplay(TX_FILE, "Annotate[%d,wout1r,%b]", $time, wout1r);
            $fflush(TX_FILE);
            TX_ERROR = TX_ERROR + 1;
        end
    endtask

    task ANNOTATE_wout1i;
        #0 begin
            $fdisplay(TX_FILE, "Annotate[%d,wout1i,%b]", $time, wout1i);
            $fflush(TX_FILE);
            TX_ERROR = TX_ERROR + 1;
        end
    endtask

    task ANNOTATE_wout2r;
        #0 begin
            $fdisplay(TX_FILE, "Annotate[%d,wout2r,%b]", $time, wout2r);
            $fflush(TX_FILE);
            TX_ERROR = TX_ERROR + 1;
        end
    endtask

    task ANNOTATE_wout2i;
        #0 begin
            $fdisplay(TX_FILE, "Annotate[%d,wout2i,%b]", $time, wout2i);
            $fflush(TX_FILE);
            TX_ERROR = TX_ERROR + 1;
        end
    endtask

    task ANNOTATE_wout3r;
        #0 begin
            $fdisplay(TX_FILE, "Annotate[%d,wout3r,%b]", $time, wout3r);
            $fflush(TX_FILE);
            TX_ERROR = TX_ERROR + 1;
        end
    endtask

    task ANNOTATE_wout3i;
        #0 begin
            $fdisplay(TX_FILE, "Annotate[%d,wout3i,%b]", $time, wout3i);
            $fflush(TX_FILE);
            TX_ERROR = TX_ERROR + 1;
        end
    endtask

    task ANNOTATE_wout4r;
        #0 begin
            $fdisplay(TX_FILE, "Annotate[%d,wout4r,%b]", $time, wout4r);
            $fflush(TX_FILE);
            TX_ERROR = TX_ERROR + 1;
        end
    endtask

    task ANNOTATE_wout4i;
        #0 begin
            $fdisplay(TX_FILE, "Annotate[%d,wout4i,%b]", $time, wout4i);
            $fflush(TX_FILE);
            TX_ERROR = TX_ERROR + 1;
        end
    endtask

    task ANNOTATE_wout5r;
        #0 begin
            $fdisplay(TX_FILE, "Annotate[%d,wout5r,%b]", $time, wout5r);
            $fflush(TX_FILE);
            TX_ERROR = TX_ERROR + 1;
        end
    endtask

    task ANNOTATE_wout5i;
        #0 begin
            $fdisplay(TX_FILE, "Annotate[%d,wout5i,%b]", $time, wout5i);
            $fflush(TX_FILE);
            TX_ERROR = TX_ERROR + 1;
        end
    endtask

    task ANNOTATE_wout6r;
        #0 begin
            $fdisplay(TX_FILE, "Annotate[%d,wout6r,%b]", $time, wout6r);
            $fflush(TX_FILE);
            TX_ERROR = TX_ERROR + 1;
        end
    endtask

    task ANNOTATE_wout6i;
        #0 begin
            $fdisplay(TX_FILE, "Annotate[%d,wout6i,%b]", $time, wout6i);
            $fflush(TX_FILE);
            TX_ERROR = TX_ERROR + 1;
        end
    endtask

    task ANNOTATE_wout7r;
        #0 begin
            $fdisplay(TX_FILE, "Annotate[%d,wout7r,%b]", $time, wout7r);
            $fflush(TX_FILE);
            TX_ERROR = TX_ERROR + 1;
        end
    endtask

    task ANNOTATE_wout7i;
        #0 begin
            $fdisplay(TX_FILE, "Annotate[%d,wout7i,%b]", $time, wout7i);
            $fflush(TX_FILE);
            TX_ERROR = TX_ERROR + 1;
        end
    endtask

    task ANNOTATE_rdy;
        #0 begin
            $fdisplay(TX_FILE, "Annotate[%d,rdy,%b]", $time, rdy);
            $fflush(TX_FILE);
            TX_ERROR = TX_ERROR + 1;
        end
    endtask

endmodule

?? 快捷鍵說明

復制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
亚洲人成人一区二区在线观看| 午夜精品在线视频一区| 在线一区二区观看| 狠狠色狠狠色综合系列| 一区二区激情视频| 欧美韩国日本综合| 日韩三级精品电影久久久 | 久久国产综合精品| 亚洲自拍偷拍网站| 国产精品看片你懂得| 欧美不卡一区二区三区四区| 欧美午夜片在线看| 成人黄色网址在线观看| 国产伦精品一区二区三区免费迷| 亚洲va韩国va欧美va精品| 自拍av一区二区三区| 国产欧美视频在线观看| 欧美zozozo| 欧美一区二区三区婷婷月色| 欧美日韩在线三级| 色婷婷久久久久swag精品| 成人99免费视频| 国产高清不卡一区| 国产一区二区网址| 蜜臀国产一区二区三区在线播放| 午夜久久久久久久久久一区二区| 亚洲精品福利视频网站| 亚洲欧美乱综合| 国产精品国产三级国产专播品爱网 | 欧美丝袜丝交足nylons图片| 99久久er热在这里只有精品66| 国产精品77777| 精品综合久久久久久8888| 青青草成人在线观看| 图片区小说区区亚洲影院| 亚洲午夜久久久久久久久电影院 | 亚洲欧美一区二区久久| 国产精品色噜噜| 国产精品视频一二三| 国产欧美一二三区| 欧美激情综合网| 国产精品高潮呻吟| 亚洲欧洲精品一区二区精品久久久 | 国产成人免费9x9x人网站视频| 国产一区二区精品久久91| 国产精品中文字幕欧美| 国产米奇在线777精品观看| 国产精品亚洲一区二区三区在线| 国产伦精品一区二区三区视频青涩 | 天堂va蜜桃一区二区三区漫画版| 午夜av一区二区三区| 日本成人中文字幕在线视频| 麻豆极品一区二区三区| 国产在线视视频有精品| 成人毛片老司机大片| 一本一道综合狠狠老| 欧美性色综合网| 欧美一级国产精品| 久久久国产精华| 17c精品麻豆一区二区免费| 亚洲一区二区av在线| 日韩高清一区二区| 国产乱色国产精品免费视频| eeuss鲁片一区二区三区在线看| 91丨九色丨蝌蚪富婆spa| 欧美日韩亚洲国产综合| 精品粉嫩超白一线天av| 国产精品色婷婷久久58| 亚洲午夜精品在线| 看电视剧不卡顿的网站| 成人精品免费看| 精品视频在线免费| 久久亚洲捆绑美女| 一区二区国产盗摄色噜噜| 人人爽香蕉精品| 成人国产在线观看| 欧美日韩一区二区欧美激情| 欧美精品一区二区三区一线天视频| 国产精品美女久久久久久久久 | 精品一区二区三区日韩| av中文字幕一区| 欧美精品亚洲一区二区在线播放| 2017欧美狠狠色| 亚洲三级小视频| 日本欧美韩国一区三区| 成人精品电影在线观看| 4438x亚洲最大成人网| 国产精品久久久久久一区二区三区| 午夜欧美电影在线观看| 成人午夜激情视频| 欧美一区二区性放荡片| 亚洲视频1区2区| 九九**精品视频免费播放| 在线亚洲人成电影网站色www| 欧美大片一区二区三区| 洋洋成人永久网站入口| 国产黄色91视频| 欧美精品777| 亚洲三级视频在线观看| 国产精品影视在线观看| 欧美人成免费网站| 亚洲欧洲性图库| 国产一区美女在线| 7777精品伊人久久久大香线蕉超级流畅 | 韩国精品在线观看| 欧美午夜视频网站| 亚洲欧洲色图综合| 国产高清不卡二三区| 日韩一级二级三级精品视频| 一区二区三区波多野结衣在线观看| 国产一区在线观看麻豆| 91精品久久久久久久99蜜桃| 亚洲综合久久av| 99国产精品视频免费观看| 国产欧美一区二区精品性| 美女一区二区三区在线观看| 欧美日韩色一区| 一区二区三区波多野结衣在线观看| 成人动漫中文字幕| 国产三级一区二区| 国产在线精品国自产拍免费| 日韩一区二区三区高清免费看看| 亚洲成人一二三| 欧美影院精品一区| 亚洲一区二区三区三| 色婷婷国产精品综合在线观看| 国产精品久久一卡二卡| 成人免费视频视频| 欧美激情一区二区三区全黄| 国产精品资源在线观看| 国产日韩影视精品| 成人深夜视频在线观看| 国产精品麻豆一区二区| 成人动漫在线一区| 亚洲私人黄色宅男| 91在线观看美女| 又紧又大又爽精品一区二区| 色爱区综合激月婷婷| 亚洲精品欧美二区三区中文字幕| 91免费国产在线观看| 亚洲愉拍自拍另类高清精品| 欧美性生活久久| 日韩精品电影在线| 欧美成人综合网站| 国产成人在线免费| 中文字幕一区二区不卡 | 成人激情小说乱人伦| ●精品国产综合乱码久久久久| 99久久国产综合精品麻豆| 亚洲欧美福利一区二区| 色婷婷久久久亚洲一区二区三区| 亚洲成a人v欧美综合天堂| 制服丝袜在线91| 国产在线播放一区三区四| 中文字幕乱码日本亚洲一区二区| av中文字幕在线不卡| 亚洲国产aⅴ天堂久久| 日韩丝袜情趣美女图片| 国产一本一道久久香蕉| 亚洲人精品午夜| 欧美日韩和欧美的一区二区| 另类调教123区 | 久久蜜桃av一区精品变态类天堂| 懂色一区二区三区免费观看| ㊣最新国产の精品bt伙计久久| 91久久一区二区| 免费观看在线色综合| 欧美激情一区不卡| 欧美无人高清视频在线观看| 老司机精品视频一区二区三区| 国产欧美精品一区aⅴ影院| 91国产福利在线| 精品亚洲成a人| 亚洲欧美日韩一区二区| 日韩一区二区麻豆国产| 99久久99久久久精品齐齐| 日产国产欧美视频一区精品 | 久久综合九色综合97婷婷| 91亚洲精品乱码久久久久久蜜桃 | 久久成人18免费观看| 国产精品美日韩| 91精品啪在线观看国产60岁| 成人黄色在线网站| 日韩中文字幕区一区有砖一区| 久久久www成人免费毛片麻豆| 色丁香久综合在线久综合在线观看| 美女网站色91| 一区二区在线观看免费| 欧美精品一区二区久久婷婷| 91在线免费播放| 国产老妇另类xxxxx| 五月婷婷色综合| 综合激情成人伊人| 欧美精品一区二区三区在线播放| 欧美在线你懂得| 不卡欧美aaaaa| 久久99精品国产| 五月婷婷另类国产| 亚洲视频在线观看三级| 国产三级一区二区|