亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關于我們
? 蟲蟲下載站

?? eexywave.ant

?? 基于FPGA的波束成型
?? ANT
字號:
////////////////////////////////////////////////////////////////////////////////
// Copyright (c) 1995-2003 Xilinx, Inc.
// All Right Reserved.
////////////////////////////////////////////////////////////////////////////////
//   ____  ____ 
//  /   /\/   / 
// /___/  \  /    Vendor: Xilinx 
// \   \   \/     Version : 7.1.03i
//  \   \         Application : ISE Foundation
//  /   /         Filename : eexywave.ant
// /___/   /\     Timestamp : Sat Jun 02 12:32:44 2007
// \   \  /  \ 
//  \___\/\___\ 
//
//Command: 
//Design Name: eexywave
//Device: Xilinx
//
`timescale 1ns/1ps

module eexywave;
    reg [15:0] eer = 16'b0000000000000000;
    reg [15:0] eei = 16'b0000000000000000;
    reg [15:0] yx0r = 16'b0000000000000000;
    reg [15:0] yx0i = 16'b0000000000000000;
    reg [15:0] yx1r = 16'b0000000000000000;
    reg [15:0] yx1i = 16'b0000000000000000;
    reg [15:0] yx2r = 16'b0000000000000000;
    reg [15:0] yx2i = 16'b0000000000000000;
    reg [15:0] yx3r = 16'b0000000000000000;
    reg [15:0] yx3i = 16'b0000000000000000;
    reg [15:0] yx4r = 16'b0000000000000000;
    reg [15:0] yx4i = 16'b0000000000000000;
    reg [15:0] yx5r = 16'b0000000000000000;
    reg [15:0] yx5i = 16'b0000000000000000;
    reg [15:0] yx6r = 16'b0000000000000000;
    reg [15:0] yx6i = 16'b0000000000000000;
    reg [15:0] yx7r = 16'b0000000000000000;
    reg [15:0] yx7i = 16'b0000000000000000;
    wire [15:0] tey0r;
    wire [15:0] tey0i;
    wire [15:0] tey1r;
    wire [15:0] tey1i;
    wire [15:0] tey2r;
    wire [15:0] tey2i;
    wire [15:0] tey3r;
    wire [15:0] tey3i;
    wire [15:0] tey4r;
    wire [15:0] tey4i;
    wire [15:0] tey5r;
    wire [15:0] tey5i;
    wire [15:0] tey6r;
    wire [15:0] tey6i;
    wire [15:0] tey7r;
    wire [15:0] tey7i;
    reg clk = 1'b0;
    reg start = 1'b0;
    wire rdy;

    parameter PERIOD = 200;
    parameter real DUTY_CYCLE = 0.5;
    parameter OFFSET = 0;

    initial    // Clock process for clk
    begin
        #OFFSET;
        forever
        begin
            clk = 1'b0;
            #(PERIOD-(PERIOD*DUTY_CYCLE)) clk = 1'b1;
            #(PERIOD*DUTY_CYCLE);
        end
    end

    eexy UUT (
        .eer(eer),
        .eei(eei),
        .yx0r(yx0r),
        .yx0i(yx0i),
        .yx1r(yx1r),
        .yx1i(yx1i),
        .yx2r(yx2r),
        .yx2i(yx2i),
        .yx3r(yx3r),
        .yx3i(yx3i),
        .yx4r(yx4r),
        .yx4i(yx4i),
        .yx5r(yx5r),
        .yx5i(yx5i),
        .yx6r(yx6r),
        .yx6i(yx6i),
        .yx7r(yx7r),
        .yx7i(yx7i),
        .tey0r(tey0r),
        .tey0i(tey0i),
        .tey1r(tey1r),
        .tey1i(tey1i),
        .tey2r(tey2r),
        .tey2i(tey2i),
        .tey3r(tey3r),
        .tey3i(tey3i),
        .tey4r(tey4r),
        .tey4i(tey4i),
        .tey5r(tey5r),
        .tey5i(tey5i),
        .tey6r(tey6r),
        .tey6i(tey6i),
        .tey7r(tey7r),
        .tey7i(tey7i),
        .clk(clk),
        .start(start),
        .rdy(rdy));

    integer TX_FILE = 0;
    integer TX_ERROR = 0;
    
    initial begin    // Annotation process for clock clk
        #0;
        ANNOTATE_tey0r;
        ANNOTATE_tey0i;
        ANNOTATE_tey1r;
        ANNOTATE_tey1i;
        ANNOTATE_tey2r;
        ANNOTATE_tey2i;
        ANNOTATE_tey3r;
        ANNOTATE_tey3i;
        ANNOTATE_tey4r;
        ANNOTATE_tey4i;
        ANNOTATE_tey5r;
        ANNOTATE_tey5i;
        ANNOTATE_tey6r;
        ANNOTATE_tey6i;
        ANNOTATE_tey7r;
        ANNOTATE_tey7i;
        ANNOTATE_rdy;
        #OFFSET;
        forever begin
            #115;
            ANNOTATE_tey0r;
            ANNOTATE_tey0i;
            ANNOTATE_tey1r;
            ANNOTATE_tey1i;
            ANNOTATE_tey2r;
            ANNOTATE_tey2i;
            ANNOTATE_tey3r;
            ANNOTATE_tey3i;
            ANNOTATE_tey4r;
            ANNOTATE_tey4i;
            ANNOTATE_tey5r;
            ANNOTATE_tey5i;
            ANNOTATE_tey6r;
            ANNOTATE_tey6i;
            ANNOTATE_tey7r;
            ANNOTATE_tey7i;
            ANNOTATE_rdy;
            #85;
        end
    end

    initial begin  // Open the annotations file...
        TX_FILE = $fopen("F:\\myfpga\\xilinx\\wopt\\eexywave.ano");
        #10200 // Final time:  10200 ns
        $display("Success! Annotation Simulation Complete.");
        $fdisplay(TX_FILE, "Total[%d]", TX_ERROR);
        $fclose(TX_FILE);
        $finish;
    end

    initial begin
        // -------------  Current Time:  85ns
        #85;
        eei = 16'b0000000000000101;
        yx0i = 16'b0000000001000001;
        yx3r = 16'b0000000000001000;
        yx6i = 16'b0000000000000110;
        // -------------------------------------
        // -------------  Current Time:  285ns
        #200;
        eer = 16'b0000000000111110;
        eei = 16'b0011101010011000;
        yx0r = 16'b0000000000001000;
        yx1r = 16'b0000000000001001;
        yx1i = 16'b0000000000111100;
        yx2r = 16'b0010011100010000;
        yx2i = 16'b0000000000000101;
        yx3i = 16'b0000000000000100;
        yx4r = 16'b0000000000001000;
        yx4i = 16'b0000000000000010;
        yx5r = 16'b0000000000000001;
        yx5i = 16'b0001011101110000;
        yx6r = 16'b0111010100110000;
        yx6i = 16'b0000011111010000;
        yx7r = 16'b0000000000000111;
        yx7i = 16'b0000000000001001;
        // -------------------------------------
        // -------------  Current Time:  485ns
        #200;
        start = 1'b1;
        eer = 16'b0010001100101000;
        // -------------------------------------
    end

    task ANNOTATE_tey0r;
        #0 begin
            $fdisplay(TX_FILE, "Annotate[%d,tey0r,%b]", $time, tey0r);
            $fflush(TX_FILE);
            TX_ERROR = TX_ERROR + 1;
        end
    endtask

    task ANNOTATE_tey0i;
        #0 begin
            $fdisplay(TX_FILE, "Annotate[%d,tey0i,%b]", $time, tey0i);
            $fflush(TX_FILE);
            TX_ERROR = TX_ERROR + 1;
        end
    endtask

    task ANNOTATE_tey1r;
        #0 begin
            $fdisplay(TX_FILE, "Annotate[%d,tey1r,%b]", $time, tey1r);
            $fflush(TX_FILE);
            TX_ERROR = TX_ERROR + 1;
        end
    endtask

    task ANNOTATE_tey1i;
        #0 begin
            $fdisplay(TX_FILE, "Annotate[%d,tey1i,%b]", $time, tey1i);
            $fflush(TX_FILE);
            TX_ERROR = TX_ERROR + 1;
        end
    endtask

    task ANNOTATE_tey2r;
        #0 begin
            $fdisplay(TX_FILE, "Annotate[%d,tey2r,%b]", $time, tey2r);
            $fflush(TX_FILE);
            TX_ERROR = TX_ERROR + 1;
        end
    endtask

    task ANNOTATE_tey2i;
        #0 begin
            $fdisplay(TX_FILE, "Annotate[%d,tey2i,%b]", $time, tey2i);
            $fflush(TX_FILE);
            TX_ERROR = TX_ERROR + 1;
        end
    endtask

    task ANNOTATE_tey3r;
        #0 begin
            $fdisplay(TX_FILE, "Annotate[%d,tey3r,%b]", $time, tey3r);
            $fflush(TX_FILE);
            TX_ERROR = TX_ERROR + 1;
        end
    endtask

    task ANNOTATE_tey3i;
        #0 begin
            $fdisplay(TX_FILE, "Annotate[%d,tey3i,%b]", $time, tey3i);
            $fflush(TX_FILE);
            TX_ERROR = TX_ERROR + 1;
        end
    endtask

    task ANNOTATE_tey4r;
        #0 begin
            $fdisplay(TX_FILE, "Annotate[%d,tey4r,%b]", $time, tey4r);
            $fflush(TX_FILE);
            TX_ERROR = TX_ERROR + 1;
        end
    endtask

    task ANNOTATE_tey4i;
        #0 begin
            $fdisplay(TX_FILE, "Annotate[%d,tey4i,%b]", $time, tey4i);
            $fflush(TX_FILE);
            TX_ERROR = TX_ERROR + 1;
        end
    endtask

    task ANNOTATE_tey5r;
        #0 begin
            $fdisplay(TX_FILE, "Annotate[%d,tey5r,%b]", $time, tey5r);
            $fflush(TX_FILE);
            TX_ERROR = TX_ERROR + 1;
        end
    endtask

    task ANNOTATE_tey5i;
        #0 begin
            $fdisplay(TX_FILE, "Annotate[%d,tey5i,%b]", $time, tey5i);
            $fflush(TX_FILE);
            TX_ERROR = TX_ERROR + 1;
        end
    endtask

    task ANNOTATE_tey6r;
        #0 begin
            $fdisplay(TX_FILE, "Annotate[%d,tey6r,%b]", $time, tey6r);
            $fflush(TX_FILE);
            TX_ERROR = TX_ERROR + 1;
        end
    endtask

    task ANNOTATE_tey6i;
        #0 begin
            $fdisplay(TX_FILE, "Annotate[%d,tey6i,%b]", $time, tey6i);
            $fflush(TX_FILE);
            TX_ERROR = TX_ERROR + 1;
        end
    endtask

    task ANNOTATE_tey7r;
        #0 begin
            $fdisplay(TX_FILE, "Annotate[%d,tey7r,%b]", $time, tey7r);
            $fflush(TX_FILE);
            TX_ERROR = TX_ERROR + 1;
        end
    endtask

    task ANNOTATE_tey7i;
        #0 begin
            $fdisplay(TX_FILE, "Annotate[%d,tey7i,%b]", $time, tey7i);
            $fflush(TX_FILE);
            TX_ERROR = TX_ERROR + 1;
        end
    endtask

    task ANNOTATE_rdy;
        #0 begin
            $fdisplay(TX_FILE, "Annotate[%d,rdy,%b]", $time, rdy);
            $fflush(TX_FILE);
            TX_ERROR = TX_ERROR + 1;
        end
    endtask

endmodule

?? 快捷鍵說明

復制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
欧美一区二区大片| 91免费观看在线| 成人欧美一区二区三区视频网页| 日本高清无吗v一区| 国内国产精品久久| 亚洲电影一区二区三区| 久久久99精品免费观看不卡| 欧美体内she精视频| 成人晚上爱看视频| 久久99精品网久久| 亚洲网友自拍偷拍| 欧美国产国产综合| 精品国产免费人成在线观看| 欧美图区在线视频| 99re热这里只有精品视频| 国产综合一区二区| 婷婷综合另类小说色区| 成人免费小视频| 国产亚洲午夜高清国产拍精品| 欧美精品xxxxbbbb| 91精品91久久久中77777| av不卡免费在线观看| 国内精品久久久久影院薰衣草| 日韩av午夜在线观看| 一区二区免费在线| 亚洲精品国产品国语在线app| 久久精品人人爽人人爽| 欧美大片日本大片免费观看| 欧美精品久久99| 欧美在线啊v一区| 色综合久久久久综合体| 成人av电影免费观看| 国产成人免费视频| 国产成人免费视| 成人妖精视频yjsp地址| 国产91丝袜在线播放| 国产精品夜夜嗨| 国产精品一区二区你懂的| 美女高潮久久久| 久久69国产一区二区蜜臀| 麻豆91免费看| 国产一区二区调教| 国产精品一区二区果冻传媒| 国产一级精品在线| 国产黄人亚洲片| 国产98色在线|日韩| www.欧美精品一二区| 91网站在线播放| 91国在线观看| 欧美挠脚心视频网站| 欧美二区三区的天堂| 7777精品久久久大香线蕉| 欧美日韩不卡一区| 日韩视频永久免费| 久久中文字幕电影| 国产精品伦理在线| 亚洲黄色免费网站| 亚洲va国产va欧美va观看| 日韩国产欧美视频| 黑人精品欧美一区二区蜜桃| 国产精品1区2区| 91浏览器打开| 欧美日韩精品一区二区三区四区| 91精品国产一区二区三区香蕉| 日韩色视频在线观看| 日本一区二区三区久久久久久久久不| 国产精品乱人伦| 亚洲午夜一区二区三区| 久久国产乱子精品免费女| 国产乱码精品一区二区三| av午夜一区麻豆| 欧美疯狂性受xxxxx喷水图片| 欧美成人bangbros| 一区精品在线播放| 亚洲123区在线观看| 国产精品亚洲综合一区在线观看| 色综合久久天天| 欧美mv日韩mv国产网站app| 国产精品久久久久久久久久久免费看| 亚洲主播在线播放| 国产在线不卡一卡二卡三卡四卡| www.日韩大片| 日韩精品自拍偷拍| 亚洲男人电影天堂| 极品少妇xxxx偷拍精品少妇| 91老师片黄在线观看| 精品国产乱码久久久久久夜甘婷婷| 国产精品久久久久毛片软件| 日韩精品五月天| aaa欧美色吧激情视频| 欧美群妇大交群中文字幕| 国产亚洲精品bt天堂精选| 亚洲福中文字幕伊人影院| 成人福利电影精品一区二区在线观看| 欧美日韩国产一二三| 中文字幕精品一区二区三区精品| 午夜私人影院久久久久| 成人国产一区二区三区精品| 欧美一级午夜免费电影| 亚洲欧美一区二区久久| 国产一区二区网址| 欧美一区欧美二区| 亚洲精品乱码久久久久久日本蜜臀| 国模无码大尺度一区二区三区| 欧美视频日韩视频在线观看| 国产精品伦理在线| 国产美女娇喘av呻吟久久| 69av一区二区三区| 一区二区三区精品久久久| 粉嫩aⅴ一区二区三区四区 | 久久精品99久久久| 91成人在线免费观看| 国产色产综合色产在线视频| 日本91福利区| 欧美日韩美少妇| 怡红院av一区二区三区| 国产**成人网毛片九色 | 日韩亚洲欧美一区| 亚洲影院在线观看| 色综合天天在线| 欧美激情资源网| 国产精品亚洲综合一区在线观看| 日韩欧美在线影院| 日本午夜一本久久久综合| 欧美日韩久久一区| 亚洲国产精品自拍| 欧美中文字幕不卡| 一区二区三区免费| 91麻豆国产在线观看| 亚洲日本电影在线| 97久久超碰精品国产| 中文字幕在线不卡一区| 成人黄色电影在线| 亚洲欧美日韩国产综合| 91在线视频观看| 亚洲美女免费视频| 欧美中文字幕一区二区三区亚洲| 亚洲免费在线播放| 日本高清免费不卡视频| 一区二区三区四区在线播放 | 美女精品自拍一二三四| 制服丝袜激情欧洲亚洲| 日韩电影网1区2区| 日韩三区在线观看| 精品亚洲免费视频| 国产亚洲午夜高清国产拍精品| 国产成人精品aa毛片| 国产精品国产三级国产aⅴ入口| 成人黄页在线观看| 一区二区三区四区在线免费观看| 欧美日韩综合在线| 日韩精品一区第一页| 亚洲精品一区二区三区在线观看 | 日韩三级在线观看| 韩国精品久久久| 国产精品美女久久久久久2018| 不卡的看片网站| 亚洲一区二区三区不卡国产欧美| 欧美日韩成人综合在线一区二区| 蜜臀av性久久久久蜜臀aⅴ四虎| 精品卡一卡二卡三卡四在线| 国产成人在线电影| 亚洲精品日韩一| 欧美日韩精品一区二区三区蜜桃 | 日本一区二区综合亚洲| 91免费版pro下载短视频| 成人欧美一区二区三区黑人麻豆| 欧美系列在线观看| 久久不见久久见免费视频7| 国产亲近乱来精品视频| 91年精品国产| 六月婷婷色综合| 国产精品国产三级国产a| 国产精品 欧美精品| 亚洲欧美一区二区久久| 欧美日韩第一区日日骚| 麻豆精品视频在线| 国产午夜精品在线观看| 色www精品视频在线观看| 中文字幕第一区二区| 欧美日本免费一区二区三区| 国产一区亚洲一区| 夜夜嗨av一区二区三区四季av | 国产成人夜色高潮福利影视| 亚洲免费在线电影| 日韩女优制服丝袜电影| 99热精品一区二区| 日韩av电影天堂| 国产精品久久久久久久第一福利| 欧美日韩国产123区| 成人美女在线观看| 免费国产亚洲视频| 国产精品久久网站| 欧美成人bangbros| 欧美日韩性生活| 97se亚洲国产综合自在线观| 久久er精品视频| 婷婷开心久久网| 中文字幕一区二区三| 精品蜜桃在线看|