?? jishuqi.vhd
字號:
--變量的賦值是立即生效的,所以他的新值可以在下一行代碼中使用
--如下的是計算一個二進制矢量中1的個數(shù)的代碼:
-------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
-------------------------------------------------
entity jishuqi is
port (din: in std_logic_vector(7 downto 0);
ones: out integer range 0 to 8);
end jishuqi;
------------------------------------------------
architecture ok of jishuqi is
begin
process(din)
variable temp: integer range 0 to 8;--變量切記在局部中聲明使用
begin
temp := 0;
for i in 0 to 7 loop
if (din(i) = '1') then
temp := temp+1;--注意變量用:=賦值,信號<=用賦值
end if;
end loop;
ones <= temp;
end process;
end ok;
-----------------
?? 快捷鍵說明
復制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號
Ctrl + =
減小字號
Ctrl + -