?? code.vhd
字號:
--** 編 碼 器 **--
--文件名:code.vhd
--功 能:數據編碼
--說 明:以撥盤開關作為數據輸入端,用發光二極管的后3位來表示編碼后的信息;
-- datain(0)-datain(7) 分別對應撥盤開關上的1-8號鍵;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity code is
Port (datain : in std_logic_vector(7 downto 0); --數據輸入端;
cs : out std_logic_vector(1 downto 0); --數碼管、發光二極管片選信號;
dataout : out std_logic_vector(7 downto 0)); --數據輸出端;
end code;
architecture Behavioral of code is
begin
cs<="01"; --選通發光二極管;
dataout(7 downto 3)<="11111";--熄滅其他不用的發光二極管
process(datain)
begin
case datain is
when "11111110"=>dataout(2 downto 0)<="000"; --7;
when "11111101"=>dataout(2 downto 0)<="001"; --6;
when "11111011"=>dataout(2 downto 0)<="010"; --5;
when "11110111"=>dataout(2 downto 0)<="011"; --4;
when "11101111"=>dataout(2 downto 0)<="100"; --3;
when "11011111"=>dataout(2 downto 0)<="101"; --2;
when "10111111"=>dataout(2 downto 0)<="110"; --1;
when "01111111"=>dataout(2 downto 0)<="111"; --0;
when others=>dataout(2 downto 0)<="111";
end case;
end process;
end Behavioral;
?? 快捷鍵說明
復制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號
Ctrl + =
減小字號
Ctrl + -