?? reg.vhd
字號:
--** 通用寄存器 **--
--文件名:reg.vhd
--功 能:通用寄存器
--說 明:“data”采用八位撥盤開關來置入數據;
-- “q”采用發光二極管來表示;
-- “enable”作為發光二極管的片選信號輸入端,用按鍵來實現控制;
--**注意:按鍵是'0'有效,默認是'1'電平; 片選信號(cs)為高電平選通;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity reg is
Port (clk : in std_logic; --時鐘信號;
enable : in std_logic; --使能信號;
cs : out std_logic_vector(1 downto 0); --發光二極管的片選輸出;
datain : in std_logic_vector(7 downto 0); --八位數據輸入;
q : out std_logic_vector(7 downto 0)); --八位數據輸出;
end reg;
architecture Behavioral of reg is
begin
cs<='0'&(not(enable));
process(clk)
begin
if clk'event and clk='1' then
if enable='0' then
q<=datain;
end if;
end if;
end process;
end Behavioral;
?? 快捷鍵說明
復制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號
Ctrl + =
減小字號
Ctrl + -