?? comparator.vhd
字號(hào):
-----------------------------------------------------------------------------
-- Entity: comparator
-- File: comparator.vhd
-- Author: Jose Luis Nunez
-- Created: 27/6/05
--
------------------------------------------------------------------------------
-- compares two numbers and generates equality and less than
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned."<";
use IEEE.std_logic_unsigned."=";
entity comparator is
port (
indata_a : in std_logic_vector(3 downto 0);
indata_b : in std_logic_vector(3 downto 0);
lt : out std_logic;
eq : out std_logic
);
end;
architecture struct of comparator is
begin
-- add code here
end struct;
?? 快捷鍵說明
復(fù)制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號(hào)
Ctrl + =
減小字號(hào)
Ctrl + -