亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關于我們
? 蟲蟲下載站

?? control.vhd

?? 如何使用ISE和FPGA使用指南
?? VHD
?? 第 1 頁 / 共 2 頁
字號:
--
-- Definition of a dual port ROM for KCPSM2 or KCPSM3 program defined by control.psm
-- and assmbled using KCPSM2 or KCPSM3 assembler.
--
-- This file has been modified for use with the Designing for Performance ChipScope Pro lab.
--
-- Standard IEEE libraries
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
--
-- The Unisim Library is used to define Xilinx primitives. It is also used during
-- simulation. The source can be viewed at %XILINX%\vhdl\src\unisims\unisim_VCOMP.vhd
--  
library unisim;
use unisim.vcomponents.all;
--
--
entity control is
    Port (      address : in std_logic_vector(9 downto 0);
            instruction : out std_logic_vector(17 downto 0);
             proc_reset : out std_logic;
                    clk : in std_logic;
                    tdi : in std_logic;
                 update : in std_logic;
                   sel1 : in std_logic;
                  drck1 : in std_logic;
                   tdo1 : out std_logic);
    end control;
--
architecture low_level_definition of control is
--
-- Declare signals internal to this module
--
signal jaddr     : std_logic_vector(10 downto 0);
signal jparity   : std_logic_vector(0 downto 0);
signal jdata     : std_logic_vector(7 downto 0);
signal doa       : std_logic_vector(7 downto 0);
signal dopa      : std_logic_vector(0 downto 0);
signal drck1_buf : std_logic;
signal tap5      : std_logic;
signal tap11     : std_logic;
signal tap17     : std_logic;
--
-- Attributes to define ROM contents during implementation synthesis. 
-- The information is repeated in the generic map for functional simulation
--
attribute INIT_00 : string; 
attribute INIT_01 : string; 
attribute INIT_02 : string; 
attribute INIT_03 : string; 
attribute INIT_04 : string; 
attribute INIT_05 : string; 
attribute INIT_06 : string; 
attribute INIT_07 : string; 
attribute INIT_08 : string; 
attribute INIT_09 : string; 
attribute INIT_0A : string; 
attribute INIT_0B : string; 
attribute INIT_0C : string; 
attribute INIT_0D : string; 
attribute INIT_0E : string; 
attribute INIT_0F : string; 
attribute INIT_10 : string; 
attribute INIT_11 : string; 
attribute INIT_12 : string; 
attribute INIT_13 : string; 
attribute INIT_14 : string; 
attribute INIT_15 : string; 
attribute INIT_16 : string; 
attribute INIT_17 : string; 
attribute INIT_18 : string; 
attribute INIT_19 : string; 
attribute INIT_1A : string; 
attribute INIT_1B : string; 
attribute INIT_1C : string; 
attribute INIT_1D : string; 
attribute INIT_1E : string; 
attribute INIT_1F : string; 
attribute INIT_20 : string; 
attribute INIT_21 : string; 
attribute INIT_22 : string; 
attribute INIT_23 : string; 
attribute INIT_24 : string; 
attribute INIT_25 : string; 
attribute INIT_26 : string; 
attribute INIT_27 : string; 
attribute INIT_28 : string; 
attribute INIT_29 : string; 
attribute INIT_2A : string; 
attribute INIT_2B : string; 
attribute INIT_2C : string; 
attribute INIT_2D : string; 
attribute INIT_2E : string; 
attribute INIT_2F : string; 
attribute INIT_30 : string; 
attribute INIT_31 : string; 
attribute INIT_32 : string; 
attribute INIT_33 : string; 
attribute INIT_34 : string; 
attribute INIT_35 : string; 
attribute INIT_36 : string; 
attribute INIT_37 : string; 
attribute INIT_38 : string; 
attribute INIT_39 : string; 
attribute INIT_3A : string; 
attribute INIT_3B : string; 
attribute INIT_3C : string; 
attribute INIT_3D : string; 
attribute INIT_3E : string; 
attribute INIT_3F : string; 
attribute INITP_00 : string;
attribute INITP_01 : string;
attribute INITP_02 : string;
attribute INITP_03 : string;
attribute INITP_04 : string;
attribute INITP_05 : string;
attribute INITP_06 : string;
attribute INITP_07 : string;
--
-- Attributes to define ROM contents during implementation synthesis.
--
attribute INIT_00 of ram_1024_x_18 : label is  "200240010EF40F01ED030DFFE00200080065010C052E003A010C0510C00100F6";
attribute INIT_01 of ram_1024_x_18 : label is  "CE0100A4ED03EDFF400C01165C0EEF00CE0100A4102C4DFF10294D006D03541C";
attribute INIT_02 of ram_1024_x_18 : label is  "5037208060006A02A000C0804000400E541E200240010EF40F0101165C25EF00";
attribute INIT_03 of ram_1024_x_18 : label is  "00CC054100CC055000CC0553A000CA80EA020A0C40370A0250362001E000A07F";
attribute INIT_04 of ram_1024_x_18 : label is  "0553009800CC054500CC053300CC052D00CC054E00CC054100CC055400CC0552";
attribute INIT_05 of ram_1024_x_18 : label is  "00CC054B009800CC055200CC054500CC055400CC055200CC054100CC055400CC";
attribute INIT_06 of ram_1024_x_18 : label is  "056900CC057800CC052E00CC057700CC057700CC0577A00000CC055400CC0549";
attribute INIT_07 of ram_1024_x_18 : label is  "056D00CC056F00CC056300CC052E00CC057800CC056E00CC056900CC056C00CC";
attribute INIT_08 of ram_1024_x_18 : label is  "057200CC056100CC057400CC057300CC056500CC053300CC057300CC052F00CC";
attribute INIT_09 of ram_1024_x_18 : label is  "0128A000549CC001000BA00000CC0520A00000CC057200CC056500CC057400CC";
attribute INIT_0A of ram_1024_x_18 : label is  "00A90432A00054AAC30100A40314A00054A5C201009F0219A00054A0C101009B";
attribute INIT_0B of ram_1024_x_18 : label is  "C408A4F01450A00000B3C440A4F8A000C440E401009BC440E401A00054AFC401";
attribute INIT_0C of ram_1024_x_18 : label is  "C440C40CA4F01450A000C44004F0009F00B904060406040604071450009B00B9";
attribute INIT_0D of ram_1024_x_18 : label is  "E401C440040EA000C44004F0009F00B3C44004060406040704071450009B00B3";
attribute INIT_0E of ram_1024_x_18 : label is  "000E000E000EA5F0C440E4014002009BC440E401009BC440E4014502009BC440";
attribute INIT_0F of ram_1024_x_18 : label is  "00B90420009F00B900A400B900A900B9043000A9A000009FC4400404D500000E";
attribute INIT_10 of ram_1024_x_18 : label is  "C580A50F51122510A00000A400A400BD050100BD050C00BD050600BD0528009F";
attribute INIT_11 of ram_1024_x_18 : label is  "E000C0804001512040006003E001A00000BD0518A00000BDC5C0A50FA00000BD";
attribute INIT_12 of ram_1024_x_18 : label is  "0000000000000000000000000000000000000000000000000000000080016001";
attribute INIT_13 of ram_1024_x_18 : label is  "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_14 of ram_1024_x_18 : label is  "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_15 of ram_1024_x_18 : label is  "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_16 of ram_1024_x_18 : label is  "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_17 of ram_1024_x_18 : label is  "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_18 of ram_1024_x_18 : label is  "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_19 of ram_1024_x_18 : label is  "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_1A of ram_1024_x_18 : label is  "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_1B of ram_1024_x_18 : label is  "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_1C of ram_1024_x_18 : label is  "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_1D of ram_1024_x_18 : label is  "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_1E of ram_1024_x_18 : label is  "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_1F of ram_1024_x_18 : label is  "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_20 of ram_1024_x_18 : label is  "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_21 of ram_1024_x_18 : label is  "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_22 of ram_1024_x_18 : label is  "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_23 of ram_1024_x_18 : label is  "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_24 of ram_1024_x_18 : label is  "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_25 of ram_1024_x_18 : label is  "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_26 of ram_1024_x_18 : label is  "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_27 of ram_1024_x_18 : label is  "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_28 of ram_1024_x_18 : label is  "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_29 of ram_1024_x_18 : label is  "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_2A of ram_1024_x_18 : label is  "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_2B of ram_1024_x_18 : label is  "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_2C of ram_1024_x_18 : label is  "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_2D of ram_1024_x_18 : label is  "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_2E of ram_1024_x_18 : label is  "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_2F of ram_1024_x_18 : label is  "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_30 of ram_1024_x_18 : label is  "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_31 of ram_1024_x_18 : label is  "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_32 of ram_1024_x_18 : label is  "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_33 of ram_1024_x_18 : label is  "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_34 of ram_1024_x_18 : label is  "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_35 of ram_1024_x_18 : label is  "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_36 of ram_1024_x_18 : label is  "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_37 of ram_1024_x_18 : label is  "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_38 of ram_1024_x_18 : label is  "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_39 of ram_1024_x_18 : label is  "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_3A of ram_1024_x_18 : label is  "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_3B of ram_1024_x_18 : label is  "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_3C of ram_1024_x_18 : label is  "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_3D of ram_1024_x_18 : label is  "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_3E of ram_1024_x_18 : label is  "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_3F of ram_1024_x_18 : label is  "4119000000000000000000000000000000000000000000000000000000000000";
attribute INITP_00 of ram_1024_x_18 : label is "33333333333332CCCF3333333CCCCCCCCCCAAED8D0A3D03D78FD7DD34088F3CF";
attribute INITP_01 of ram_1024_x_18 : label is "CFFF3B82A8838E0E228FAA8F80A3EA8F02E28E2DCB72DCB72D2CB33333333333";
attribute INITP_02 of ram_1024_x_18 : label is "00000000000000000000000000000000000000000000000C834ACB0B0DBF3333";
attribute INITP_03 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INITP_04 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INITP_05 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INITP_06 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INITP_07 of ram_1024_x_18 : label is "C000000000000000000000000000000000000000000000000000000000000000";
--
begin
--
  --Instantiate the Xilinx primitive for a block RAM
  ram_1024_x_18: RAMB16_S9_S18
  --synthesis translate_off
  --INIT values repeated to define contents for functional simulation
  generic map (INIT_00 => X"200240010EF40F01ED030DFFE00200080065010C052E003A010C0510C00100F6",
               INIT_01 => X"CE0100A4ED03EDFF400C01165C0EEF00CE0100A4102C4DFF10294D006D03541C",
               INIT_02 => X"5037208060006A02A000C0804000400E541E200240010EF40F0101165C25EF00",
               INIT_03 => X"00CC054100CC055000CC0553A000CA80EA020A0C40370A0250362001E000A07F",
               INIT_04 => X"0553009800CC054500CC053300CC052D00CC054E00CC054100CC055400CC0552",
               INIT_05 => X"00CC054B009800CC055200CC054500CC055400CC055200CC054100CC055400CC",
               INIT_06 => X"056900CC057800CC052E00CC057700CC057700CC0577A00000CC055400CC0549",
               INIT_07 => X"056D00CC056F00CC056300CC052E00CC057800CC056E00CC056900CC056C00CC",
               INIT_08 => X"057200CC056100CC057400CC057300CC056500CC053300CC057300CC052F00CC",
               INIT_09 => X"0128A000549CC001000BA00000CC0520A00000CC057200CC056500CC057400CC",
               INIT_0A => X"00A90432A00054AAC30100A40314A00054A5C201009F0219A00054A0C101009B",
               INIT_0B => X"C408A4F01450A00000B3C440A4F8A000C440E401009BC440E401A00054AFC401",
               INIT_0C => X"C440C40CA4F01450A000C44004F0009F00B904060406040604071450009B00B9",
               INIT_0D => X"E401C440040EA000C44004F0009F00B3C44004060406040704071450009B00B3",
               INIT_0E => X"000E000E000EA5F0C440E4014002009BC440E401009BC440E4014502009BC440",
               INIT_0F => X"00B90420009F00B900A400B900A900B9043000A9A000009FC4400404D500000E",
               INIT_10 => X"C580A50F51122510A00000A400A400BD050100BD050C00BD050600BD0528009F",
               INIT_11 => X"E000C0804001512040006003E001A00000BD0518A00000BDC5C0A50FA00000BD",
               INIT_12 => X"0000000000000000000000000000000000000000000000000000000080016001",

?? 快捷鍵說明

復制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
欧美伊人久久大香线蕉综合69| 成人免费一区二区三区在线观看| 国产偷国产偷亚洲高清人白洁| 亚洲最色的网站| 狠狠色丁香婷婷综合| 欧美亚州韩日在线看免费版国语版| 精品国产伦一区二区三区观看方式| 亚洲综合丁香婷婷六月香| 国产不卡在线一区| 久久综合五月天婷婷伊人| 日本成人中文字幕在线视频| 欧美又粗又大又爽| 中文字幕一区二区视频| 国产成人综合视频| 亚洲精品在线网站| 蜜桃视频在线观看一区| 欧美视频在线一区| 亚洲伊人色欲综合网| 97久久精品人人做人人爽50路| 国产亚洲欧美中文| 国产美女一区二区| 久久网这里都是精品| 国内不卡的二区三区中文字幕 | 国产精品网曝门| 日韩黄色一级片| 欧美色区777第一页| 一区二区三区中文在线| 91在线云播放| 亚洲视频一区在线观看| 成人h动漫精品一区二区| 中文字幕精品在线不卡| 成人黄色大片在线观看| 最近中文字幕一区二区三区| 国产成人免费网站| 亚洲国产精品二十页| 成人激情文学综合网| 成人欧美一区二区三区黑人麻豆| 不卡的av电影| 亚洲激情欧美激情| 精品污污网站免费看| 性做久久久久久久久| 91啦中文在线观看| 亚洲成人高清在线| 日韩精品专区在线影院重磅| 精品一区二区免费看| 国产午夜三级一区二区三| 成人一区二区三区| 一区二区三区国产精品| 欧美精品一二三区| 理论电影国产精品| 国产精品伦一区| 欧洲av一区二区嗯嗯嗯啊| 亚洲电影你懂得| 精品国产亚洲一区二区三区在线观看| 韩国精品一区二区| 国产精品人妖ts系列视频| 色综合色综合色综合色综合色综合 | 国产成人精品免费网站| 成人免费小视频| 欧美日韩国产bt| 国产精品综合久久| 一区二区不卡在线播放| 日韩欧美一区二区三区在线| 国产91富婆露脸刺激对白| 亚洲影院理伦片| 久久只精品国产| 欧美性感一类影片在线播放| 国产伦精品一区二区三区免费迷| 中文字幕一区二区不卡| 91精品国产一区二区| 99国产精品久久| 精品在线播放免费| 亚洲国产一区二区在线播放| 精品盗摄一区二区三区| 91黄色小视频| 国产成人精品aa毛片| 日韩精品亚洲一区二区三区免费| 中文字幕不卡在线观看| 91精品国产欧美一区二区成人| 成人免费毛片高清视频| 青青草伊人久久| 亚洲影院理伦片| 国产精品久久久久永久免费观看 | 国产日产欧产精品推荐色| 极品少妇xxxx精品少妇| 丝袜亚洲另类欧美综合| 亚洲欧洲日韩一区二区三区| 欧美不卡一二三| 欧美性大战久久久久久久| 成人一二三区视频| 久久se精品一区精品二区| 亚洲午夜精品网| 中文字幕在线播放不卡一区| 久久美女艺术照精彩视频福利播放| 欧洲生活片亚洲生活在线观看| 成人激情小说网站| 国产一区二区三区在线观看免费视频 | 成人app在线| 国产一区二三区| 蜜臀精品一区二区三区在线观看| 一区二区三区色| 1000精品久久久久久久久| 国产视频一区二区三区在线观看 | 精品一二三四在线| 日本视频中文字幕一区二区三区| 亚洲精品视频一区| 中文字幕一区在线观看视频| 中文一区二区在线观看| 欧美国产日韩在线观看| 久久精品一区二区三区av| 欧美不卡一二三| 欧美不卡123| 精品奇米国产一区二区三区| 日韩欧美一级在线播放| 日韩欧美中文字幕制服| 欧美一区二区三区免费| 日韩午夜av一区| 精品国一区二区三区| 精品少妇一区二区三区日产乱码 | 欧美福利一区二区| 91精品午夜视频| 日韩一级完整毛片| 欧美岛国在线观看| 精品卡一卡二卡三卡四在线| 欧美成人激情免费网| 日韩精品一区二区三区蜜臀| 欧美成人aa大片| 亚洲精品一区二区三区影院| 精品国产乱子伦一区| 国产欧美精品日韩区二区麻豆天美| 久久久99精品久久| 国产精品伦一区| 亚洲亚洲精品在线观看| 日本v片在线高清不卡在线观看| 秋霞电影一区二区| 狠狠狠色丁香婷婷综合激情| 成人在线视频一区二区| 91麻豆福利精品推荐| 欧美专区亚洲专区| 欧美一区二区精品在线| 欧美精品一区二区在线播放| 国产精品色眯眯| 亚洲小说春色综合另类电影| 激情综合色综合久久综合| 成人福利在线看| 欧美日韩一区久久| 欧美精品一区二区在线播放| 亚洲特黄一级片| 日韩电影一二三区| 成人免费视频播放| 欧美精品v国产精品v日韩精品| 精品国产一区二区国模嫣然| 亚洲美女视频在线| 男女激情视频一区| 97超碰欧美中文字幕| 日韩一区二区在线看| 国产精品久久久久影院亚瑟| 日韩精品色哟哟| jizz一区二区| 精品国内二区三区| 亚洲午夜在线视频| 国产成人免费在线观看不卡| 欧美私人免费视频| 国产目拍亚洲精品99久久精品| 亚洲一区在线观看免费观看电影高清| 精品在线播放免费| 欧美日韩免费视频| 综合在线观看色| 国产综合成人久久大片91| 91国偷自产一区二区三区观看| 精品国产99国产精品| 亚洲福利视频三区| www.综合网.com| 精品国产91亚洲一区二区三区婷婷| 一区二区三区四区激情| 国产99久久精品| 2024国产精品| 男人的天堂亚洲一区| 欧美性猛交xxxxxxxx| 成人免费在线视频| 国产91丝袜在线观看| 精品国产乱码久久久久久老虎 | 一卡二卡三卡日韩欧美| 高清在线不卡av| 欧美tickling挠脚心丨vk| 亚洲一区中文在线| 91黄视频在线| 亚洲成人免费看| 91福利社在线观看| 综合久久久久久| 成人一区二区视频| 国产精品卡一卡二| 从欧美一区二区三区| 久久久亚洲欧洲日产国码αv| 麻豆国产91在线播放| 91精品国产高清一区二区三区| 亚洲五码中文字幕| 欧美三级视频在线播放| 亚洲综合男人的天堂| 欧美日韩中文精品|