亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關(guān)于我們
? 蟲蟲下載站

?? armexio.vhd

?? PAX270平臺下FPGA通信源代碼
?? VHD
字號:
---------------------------------------------------------------------------------------------------
--
-- Title       : armExIO for UP-NET3000
-- Design      : ExIO
-- Author      : 0
-- Company     : 0
--
---------------------------------------------------------------------------------------------------
--
-- File        : armExIO.vhd
-- Generated   : Fri Dec 21 14:56:41 2003
-- From        : interface description file
-- By          : Itf2Vhdl ver. 1.20
--
---------------------------------------------------------------------------------------------------
--
-- Description : 
--
---------------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity armExIO is
	 port(
		 reset,mclk,wr,rd,cs:in std_logic;
		 led:out std_logic_vector(2 downto 0);
		 switch:in std_logic_vector(3 downto 0);
		 unused:in std_logic_vector(5 downto 0);
		 address:in std_logic_vector(9 downto 0);
		 data:inout std_logic_vector(15 downto 0);
		 IOP3:inout std_logic_vector(25 downto 0);
		 IOP1,IOP2:inout std_logic_vector(36 downto 0)
	);
	--pin define
	attribute altera_chip_pin_lc:string;
	attribute altera_chip_pin_lc of wr:signal is"@78";
	attribute altera_chip_pin_lc of rd:signal is"@184";
	attribute altera_chip_pin_lc of cs:signal is"@125";
	attribute altera_chip_pin_lc of mclk:signal is"@183";
	attribute altera_chip_pin_lc of reset:signal is"@80";
	attribute altera_chip_pin_lc of led:signal is"@134,@135,@136";
	attribute altera_chip_pin_lc of switch:signal is"@139,@140,@141,@142";
	attribute altera_chip_pin_lc of unused:signal is"@126,@127,@128,@131,@132,@133";
	attribute altera_chip_pin_lc of address:signal is"@180,@186,@187,@189,@190,@191,@192,@193,@195,@196";
	attribute altera_chip_pin_lc of data:signal is"@122,@121,@120,@119,@116,@115,@114,@113,@112,@111,@104,@103,@102,@101,@100,@99";
	attribute altera_chip_pin_lc of IOP3:signal is"@143,@144,@147,@148,@149,@150,@157,@158,@159,@160,@161,@162,@163,@164,@166,@167,@168,@169,@170,@172,@173,@174,@175,@176,@177,@179";
	attribute altera_chip_pin_lc of IOP1:signal is"@97, @96, @95, @94, @93, @92, @90, @89, @88, @87, @86, @85, @83, @75, @74, @73, @71, @70, @69, @68, @67, @65, @64, @63, @61, @60, @58, @57, @56, @55, @54, @53,@47, @46, @45, @44, @41";
	attribute altera_chip_pin_lc of IOP2:signal is"@40, @39, @38, @37, @36, @31, @30, @29, @28, @27, @26, @25, @24, @19, @18, @17, @16, @15, @14, @13, @12, @11, @10,  @9,  @8,  @7,@208,@207,@206,@205,@204,@203,@202,@200,@199,@198,@197";
end armExIO;

architecture armExIO of armExIO is
	signal cnt4:std_logic_vector(7 downto 0);
begin
	led_proc:process(mclk)
	variable cnt1:integer range 0 to 3 :=0;
	variable cnt2:std_logic_vector(7 downto 0);
	variable cnt3:integer range 0 to 1000000 :=0;
	variable aaaa:std_logic_vector(2 downto 0) :="000";
	begin
		if(mclk'event and mclk='0')then
			if(cnt3>=1000000)then							--0.1s @10MHz
				cnt3:=0;
				cnt2:=cnt2+1;
				if(cnt2>=cnt4)then
					cnt2:="00000000";
					cnt1:=cnt1+1;
					if(cnt1=1)then
						aaaa:="110";
					elsif(cnt1=2)then
						aaaa:="101";
					elsif(cnt1=3)then
						cnt1:=0;
						aaaa:="011";
					end if;
					led<=aaaa;
		   		end if;
			else
			cnt3 :=cnt3+1;
			end if;
		end if;
	end process led_proc;

	write:process(wr,cs)
	begin
		if(wr='0' and cs='0')then
			case address is
				when"0000000000"=>							--write IOP1_L
					IOP1(15 downto 0)<=data;
				when"0000000001"=>							--write IOP1_H
					IOP1(31 downto 16)<=data;
				when"0000000010"=>							--write IOP2_L
					IOP2(15 downto 0)<=data;
				when"0000000011"=>							--write IOP2_H
					IOP2(31 downto 16)<=data;
				when"0000000100"=>							--write IOP3_L
					IOP3(15 downto 0)<=data;
				when"0000000101"=>							--write IOP3_H
					IOP3(25 downto 16)<=data(9 downto 0);
				when"0000000110"=>							--write LED_CONTROL 
					cnt4<=data(7 downto 0);
				when"0000011111"=>							--reset port
					IOP1<=(others=>'0');
					IOP2<=(others=>'0');
					IOP3<=(others=>'0');
				when others=> 
			end case;
		end if;
	end process write;

	read:process(rd,cs)
	begin
		if(cs='1' or rd='1')then
			data<="ZZZZZZZZZZZZZZZZ";
		elsif(rd='0' and cs='0')then
			case address is
				when"0000000000"=>							--read IOP1_L
					data<=IOP1(15 downto 0);
				when"0000000001"=>							--read IOP1_H
					data<=IOP1(31 downto 16);
				when"0000000010"=>							--read IOP2_L
					data<=IOP2(15 downto 0);
				when"0000000011"=>							--read IOP2_H
					data<=IOP2(31 downto 16);
				when"0000000100"=>							--read IOP3_L
					data<=IOP3(15 downto 0);
				when"0000000101"=>							--read IOP3_H
					data<="000000"&IOP3(25 downto 16);
				when"0000000110"=>							--read LED_CONTROL
					data<="00000000"&cnt4;
				when others=>
					data<="ZZZZZZZZZZZZZZZZ";
			end case;
		end if;
	end process read;
end armExIO;

?? 快捷鍵說明

復(fù)制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
久久久精品免费观看| 国产精品二三区| 欧美国产日韩a欧美在线观看| 国产精品丝袜91| 亚洲成av人片在线观看| 成人性色生活片免费看爆迷你毛片| 在线精品视频免费播放| 国产视频一区二区在线观看| 无码av中文一区二区三区桃花岛| 成人三级伦理片| 精品av久久707| 日韩 欧美一区二区三区| 91麻豆国产福利精品| 久久网站最新地址| 欧美aaa在线| 欧美无砖砖区免费| 亚洲另类在线视频| 99精品欧美一区二区三区小说 | 欧美一区二区三区免费视频 | 一区二区三区精品在线| 国产毛片一区二区| 欧美成人性战久久| 免费精品视频在线| 91麻豆精品国产91久久久久久| 亚洲三级电影全部在线观看高清| 国产福利一区二区三区视频 | 综合久久久久久| 粉嫩在线一区二区三区视频| 欧美电影免费提供在线观看| 五月激情丁香一区二区三区| 欧美亚州韩日在线看免费版国语版 | 26uuu久久综合| 日韩精品国产精品| 91精品麻豆日日躁夜夜躁| 天堂一区二区在线免费观看| 欧美日韩精品欧美日韩精品一| 一区二区三区四区国产精品| 色综合久久天天| 亚洲欧美色图小说| 在线观看网站黄不卡| 性做久久久久久| 日韩三区在线观看| 国产麻豆午夜三级精品| 国产欧美一区二区精品性| 国产超碰在线一区| 亚洲三级电影全部在线观看高清| 91欧美一区二区| 亚洲国产成人av网| 日韩免费高清av| 国产在线视频精品一区| 日本一区二区电影| 91久久精品一区二区二区| 午夜精品久久久| 精品少妇一区二区三区日产乱码| 国产精品一区久久久久| 国产精品欧美一区喷水| 欧美中文字幕一区二区三区亚洲| 日韩国产欧美在线视频| 26uuu色噜噜精品一区二区| 成人精品亚洲人成在线| 亚洲一区精品在线| 日韩一区二区三区高清免费看看| 国产麻豆欧美日韩一区| 亚洲品质自拍视频网站| 欧美一区二区大片| 成人av网站免费| 石原莉奈一区二区三区在线观看| 2023国产精品自拍| 日本韩国欧美一区二区三区| 婷婷丁香久久五月婷婷| 亚洲国产岛国毛片在线| 欧美日韩免费高清一区色橹橹 | 国产最新精品免费| 亚洲狼人国产精品| 26uuu久久综合| 欧美这里有精品| 国产精品99久久不卡二区| 亚洲精选一二三| 久久久久亚洲综合| 欧美日韩亚洲国产综合| 懂色一区二区三区免费观看| 日韩国产欧美一区二区三区| 国产精品欧美精品| 精品日韩在线观看| 欧美色图12p| 不卡一区在线观看| 国产一区二区三区不卡在线观看| 亚洲综合色成人| 国产精品久久久久久久第一福利| 欧美一区二区免费视频| 欧美怡红院视频| 成人福利在线看| 99精品视频在线免费观看| 日韩av成人高清| 亚洲一区二区不卡免费| 国产精品乱人伦中文| 精品久久久久一区二区国产| 欧美日韩久久久久久| 色婷婷精品大视频在线蜜桃视频| 成人午夜激情片| 国产伦精品一区二区三区免费迷 | 久久久美女毛片| 欧美一区二区三区的| 欧美日韩精品福利| 成人精品免费网站| 日韩一级高清毛片| 精品视频一区三区九区| 精品视频一区二区不卡| 在线视频一区二区三| 一本大道av伊人久久综合| 99久久综合99久久综合网站| 国产一区二区三区精品欧美日韩一区二区三区| 一区二区三区久久| 亚洲精品国产品国语在线app| 亚洲天堂中文字幕| 97精品久久久午夜一区二区三区 | 视频一区欧美精品| 色哟哟一区二区在线观看| 中文字幕制服丝袜成人av| 久久久久久亚洲综合| 亚洲人成网站在线| 蜜桃久久久久久| www.av精品| 欧美电影免费观看高清完整版在线 | 亚洲自拍偷拍av| 国产综合久久久久久久久久久久| 91香蕉视频黄| 精品国产乱码久久久久久免费| 亚洲私人影院在线观看| 经典三级在线一区| 欧美三区免费完整视频在线观看| 精品久久五月天| 一区二区三区在线观看国产| 韩国女主播一区| 欧美日韩国产高清一区| 亚洲欧洲国产日韩| 美腿丝袜亚洲色图| 欧美少妇性性性| 国产精品国产馆在线真实露脸| 日本欧美久久久久免费播放网| av成人免费在线观看| 日韩你懂的电影在线观看| 亚洲精品视频免费观看| 国产一区二区三区香蕉| 3atv在线一区二区三区| 一区二区三区中文字幕电影| 丁香婷婷深情五月亚洲| 欧美电影免费观看高清完整版在线 | 久久午夜羞羞影院免费观看| 偷窥少妇高潮呻吟av久久免费| 94色蜜桃网一区二区三区| 久久九九久久九九| 麻豆国产欧美一区二区三区| 欧美日韩久久一区| 亚洲综合激情小说| 色综合久久综合中文综合网| 欧美国产亚洲另类动漫| 国产精品91xxx| 国产亚洲制服色| 狂野欧美性猛交blacked| 91精品国产综合久久香蕉的特点| 性做久久久久久| 欧美卡1卡2卡| 日韩激情视频在线观看| 欧美精三区欧美精三区| 亚洲成人在线网站| 欧美午夜影院一区| 亚洲成av人片| 在线综合视频播放| 午夜电影一区二区| 制服丝袜日韩国产| 麻豆国产91在线播放| 日韩免费观看高清完整版在线观看 | 7777精品久久久大香线蕉| 天天影视色香欲综合网老头| 欧美日韩国产在线播放网站| 视频一区视频二区中文| 欧美精选在线播放| 男人的天堂亚洲一区| 精品国产3级a| 成人免费视频网站在线观看| 一区在线播放视频| 色婷婷激情久久| 日韩和欧美一区二区三区| 欧美一级免费观看| 狠狠狠色丁香婷婷综合激情| 国产亚洲一区二区三区在线观看| 国产福利不卡视频| 亚洲三级免费电影| 欧美日韩国产一二三| 一区二区欧美国产| 久久国产精品99久久久久久老狼| 久久久久国产成人精品亚洲午夜| 精品婷婷伊人一区三区三| 午夜精品成人在线视频| 精品伦理精品一区| 成人深夜视频在线观看| 一二三区精品福利视频| 日韩一区二区在线看| 成人在线视频一区|