亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關于我們
? 蟲蟲下載站

?? debussy.rc

?? 這是著名的硬件調試工具debussy的教學說明
?? RC
字號:
@Debussy rc file Version 1.0[*]IfShowModule = {TRUE, FALSE} [Annotation]3D_Active_Annotation = FALSE[DisabledMessages]version = 4.4.h7[Editor]editorName = Vi[Emacs]EmacsFont = "Clean 14"EmacsBG = grayEmacsFG = black[FSM]viewport = 65 336 387 479SmartTips = TRUEState-LineColor = ID_BLACKState-LineWidth = 1State-FillColor = ID_BLUE2State-TextColor = ID_WHITEInit_State-LineColor = ID_BLACKInit_State-LineWidth = 2Init_State-FillColor = ID_YELLOW2Init_State-TextColor = ID_BLACKTrap_State-LineColor = ID_RED2Trap_State-LineWidth = 2Trap_State-FillColor = ID_CYAN5Trap_State-TextColor = ID_RED2State_Action-LineColor = ID_BLACKState_Action-LineWidth = 1State_Action-FillColor = ID_WHITEState_Action-TextColor = ID_BLACKJunction-LineColor = ID_BLACKJunction-LineWidth = 1Junction-FillColor = ID_GREEN2Junction-TextColor = ID_BLACKConnection-LineColor = ID_BLACKConnection-LineWidth = 1Connection-FillColor = ID_GRAY5Connection-TextColor = ID_BLACKTransition-LineColor = ID_BLACKTransition-LineWidth = 1Transition-FillColor = ID_WHITETransition-TextColor = ID_BLACKTrans_Condition-LineColor = ID_BLACKTrans_Condition-LineWidth = 1Trans_Condition-FillColor = ID_WHITETrans_Condition-TextColor = ID_ORANGE2Trans_Action-LineColor = ID_BLACKTrans_Action-LineWidth = 1Trans_Action-FillColor = ID_WHITETrans_Action-TextColor = ID_GREEN2SelectedSet-LineColor = ID_RED2SelectedSet-LineWidth = 1SelectedSet-FillColor = ID_RED2SelectedSet-TextColor = ID_WHITEHilightSet-LineColor = ID_RED5HilightSet-LineWidth = 1HilightSet-FillColor = ID_RED7HilightSet-TextColor = ID_BLUE5WndBk-FillColor = Gray3Background-FillColor = ID_GRAY5Selection-LineColor = ID_GRAY5Selection-LineWidth = 1prefKey_Link-LineColor = ID_GRAY5prefKey_Link-LineWidth = 1prefKey_Link-FillColor = yellow4prefKey_Link-TextColor = blackprefKey_Port-LineColor = ID_BLACKprefKey_Port-LineWidth = 1prefKey_Port-FillColor = ID_ORANGE6prefKey_Port-TextColor = ID_YELLOW2Trap = red3Hilight = blue4Window = Gray3Selected = whiteTrans. = green2State = blackInit. = blackStickSet-LineColor = ID_ORANGE5StickSet-LineWidth = 1StickSet-FillColor = ID_PURPLE6StickSet-TextColor = ID_BLACKStopAskBkgndColor = FALSEControlPoint-LineColor = ID_BLACKControlPoint-LineWidth = 1ControlPoint-FillColor = ID_WHITE[FSM_Dlg-Print]Orientation = Landscape         [Form]progressbar.fm = 165,425,371,123debussyAbout.fm = 100,100,573,125wave/waveTopWin.fm = 99,478,958,361wave/openWFile.fm = 150,350,723,535hb/vhdlSetTop.fm = 105,125,200,605wave/getSignal.fm = 100,100,781,561hb/preference.fm = 91,421,650,383wave/sigType.fm = 100,100,300,170wave/sigCPL.fm = 105,125,219,333wave/searchValue.fm = 105,125,276,133wave/searchglitch.fm = 105,125,450,113wave/fftInput.fm = 100,100,381,453wave/fftTop.fm = 235,100,864,720textMacroCmd.fm = 249,87,518,172openfile.fm = 115,175,723,535hb/importSDF.fm = 115,175,660,464text/textViewTop.fm = 567,224,451,502wave/expression.fm = 312,112,790,416hb/libMap.fm = 105,125,520,305hb/importOptions.fm = 105,125,511,253hb/importDsg.fm = 105,125,667,670fsm/fsmObjProp.fm = 110,150,396,501fsm/fsmDsgWnd.fm = 74,383,387,479sch/schTopWin.fm = 238,247,1001,329textSearchInPrj.fm = 125,225,333,210hb/loadFile.fm = 105,125,723,535nmemGetVar.fm = 105,125,280,208wave/ActiveFanIn.fm = 115,175,390,178schTracePath.fm = 148,230,454,473hb/fileWithNote.fm = 105,125,501,538nmemTop.fm = 354,227,556,425schLoadSym.fm = 180,252,377,189wave/fileTmScale.fm = 100,100,301,103equivSignal.fm = 105,125,613,445[General]DblClick = 250cmd_enter_form = FALSE[HB]ViewSchematic = FALSEwindowLayout = 94 104 998 584 182 427 998 107import_filter = *.v; *.vc; *.fdesignTreeFont = -adobe-helvetica-medium-r-normal--14-*-75-75-*-*-iso8859-*import_filter_vhdl = *.vhd; *.vhdl; *.fimport_default_language = Verilogimport_filter_verilog = *.v; *.vc; *.fsimulation_file_type = *.*import_from = source[OtherEditor]cmd1 = "xterm -font 9x15 -fg black -bg gray -e"name = "vi"options = "+${CurLine} ${CurFileName}"[Print]PrinterName = lpFileName = test.psPaperSize = A4 - 210x297 (mm) ColorPrint = FALSEPostscriptLevel2 = FALSE[Session Cache]1 = activetrace.ses2 = string (session file name)3 = string (session file name)4 = string (session file name)5 = string (session file name)[Simulation]xlPath = verilogncPath = ncsimncOption = -f ncsim.argsvcsPath = simvmtiPath = vsimlfPath = svvhncPath = ncsimvhncOption = -log debussy.nc.log interactiveDebugging = FALSEKeepBreakPoints = FALSEsimType = xlthirdpartyIdx = -1iscCmdSep = FALSE[SourceVHDL]vhSimType = ModelSim[Text]hdlComment = ID_GRAY1hdlKeyword = ID_BLUE5hdlEntity = ID_BLACKhdlEntityInst = ID_BLACKhdlSignal = ID_RED2hdlInSignal = ID_RED2hdlOutSignal = ID_RED2hdlInOutSignal = ID_RED2hdlOperator = ID_BLACKhdlMinus = ID_BLACKhdlSymbol = ID_BLACKhdlString = ID_BLACKhdlNumberBase = ID_BLACKhdlNumber = ID_BLACKhdlLiteral = ID_BLACKhdlIdentifier = ID_BLACKhdlSystemTask = ID_BLACKhdlParameter = ID_BLACKhdlIncFile = ID_BLACKhdlDataFile = ID_BLACKhdlCDSkipIf = ID_GRAY1hdlMacro = ID_BLACKtextBackground = ID_GRAY5textHiliteBK = ID_BLUE5textHiliteText = ID_WHITEtextTracedMark = ID_GREEN2textLineNo = ID_BLACKtextUserKeyword = ID_GREEN2textAnnotText = ID_BLACKtextAnnotTextShadow = ID_WHITEtext3DLight = ID_WHITEtext3DShadow = ID_BLACKirOutline = ID_RED5irDriver = ID_YELLOW5irLoad = ID_BLACKirBookMark = ID_CYAN5irIndicator = ID_WHITEirBreakpoint = ID_GREEN5irCurLine = ID_BLUE5font = SMALL12hdlVhEntity = ID_BLACKhdlArchitecture = ID_BLACKhdlPackage = ID_BLUE5hdlAlias = ID_BLUE5hdlGeneric = ID_BLUE5hdlTypeName = blue4hdlLibrary = blue4viewport = 558 177 451 502hdlPlainText = ID_BLACKtextParaAnnotText = ID_BLACKTab = 8[TextPrinter]Orientation = LandscapeIndicator = FALSELineNum = TRUEFontSize = 7Column = 2Annotation = TRUE[Texteditor]TexteditorFont = "Clean 14"TexteditorBG = grayTexteditorFG = black[ThirdParty]ThirdPartySimTool = axis surefire[TurboEditor]autoBackup = TRUE[UserButton.lf]Button1 = "Dump All Signals" "call fsdbDumpvars\n"Button2 = "Next 1000 Time" "run 1000 ps\n"Button3 = "Next ? Time" "run ${Arg:Next Time} ps\n"Button4 = "Show Variables" "value ${SelVars}\n"Button5 = "Force Variable" "set force ${SelVar}=${Arg:New Value} -freeze\n"Button6 = "Release Variable" "delete force ${SelVar}\n"[UserButton.mti]Button1 = "Dump All Signals" "fsdbDumpvars\n"Button2 = "Next 1000 Time" "run 1000\n"Button3 = "Next ? Time" "run ${Arg:Next Time}\n"Button4 = "Show Variables" "exa ${SelVars}\n"Button5 = "Force Variable" "force -freeze ${SelVar} ${Arg:New Value} 0\n"Button6 = "Release Variable" "noforce ${SelVar}\n"Button7 = "Deposit Variable" "force -deposit ${SelVar} ${Arg:New Value} 0\n"[UserButton.nc]Button1 = "Dump All Signals" "call fsdbDumpvars\n"Button2 = "Next 1000 Time" "run 1000 -relative\n"Button3 = "Next ? Time" "run ${Arg:Next Time} -relative\n"Button4 = "Run Next" "run -next\n"Button5 = "Run Step" "run -step\n"Button6 = "Run Return" "run -return\n"Button7 = "Show Variables" "value ${NCSelVars}\n"[UserButton.xl]Button13 = "Dump Off" "$fsdbDumpoff;\n"Button12 = "Dump On" "$fsdbDumpon;\n"Button11 = "Delete Focus" "$db_deletefocus(${treeSelScope});\n"Button10 = "Set Focus" "$db_setfocus(${treeSelScope});\n"Button9 = "Deposit Variable" "$deposit(${SelVar},${Arg:New Value});\n"Button8 = "Release Variable" "release ${SelVar};\n"Button7 = "Force Variable" "force ${SelVar} = ${Arg:New Value};\n"Button6 = "Show Variables" "$showvars(${SelVars});\n"Button5 = "Next ? Event" "$db_step(${Arg:Next Event});\n"Button4 = "Next Event" "$db_step(1);\n"Button3 = "Next ? Time" "#${Arg:Next Time} $stop;.\n"Button2 = "Next 1000 Time" "#1000 $stop;.\n"Button1 = "Dump All Signals" "$fsdbDumpvars;\n"[VHDL_libraries]work = ./work[Vi]ViFont = "Clean 14"ViBG = grayViFG = black[axis]TPLanguage = VerilogTPName = AxisTPPath = vlgAddImportArgument = FALSELineBreakWithScope = TRUEStopAfterCompileOption = -s[imp]options = NULLlibPath = NULLlibDir = NULL[schematics]viewport = 89 468 1001 329schBackgroundColor = ID_BLACK lineSolidschBodyColor = ID_ORANGE6 lineSolidschAsmBodyColor = ID_BLUE7 lineSolidschPortColor = ID_ORANGE6 lineSolidschCellNameColor = ID_CYAN5 lineSolidschCLKNetColor = ID_RED6 lineSolidschPWRNetColor = ID_RED5 lineSolidschGNDNetColor = ID_CYAN5 lineSolidschSIGNetColor = ID_GREEN8 lineSolidschHilightColor = ID_WHITE lineSolidschTraceColor = ID_YELLOW5 lineSolidschBackAnnotateColor = ID_WHITE lineSolidschValue0 = ID_YELLOW5 lineSolidschValue1 = ID_GREEN2 lineSolidschValueX = ID_RED5 lineSolidschValueZ = ID_PURPLE7 lineSoliddimColor = ID_CYAN2 lineSolidschPreSelColor = ID_GREEN5 lineDashschSIGBusNetColor = Gray4 lineSolidschGNDBusNetColor = cyan4 lineSolidschPWRBusNetColor = red4 lineSolidschCLKBusNetColor = red6 lineSolidschEdgeSensitiveColor = yellow4 lineSolidschAnnotColor = cyan4 lineSolidschInstNameColor = orange6 lineSolidschPortNameColor = cyan4 lineSolidschAsmLatchColor = cyan4 lineSolidschAsmRegColor = cyan4 lineSolidschAsmTriColor = cyan4 lineSolidpre_select = Truedisplay_port_name = Falsedisplay_pin_name = Falsedisplay_instance_name = Falsedisplay_local_net_name = Falsedisplay_back_annotation = Falsedisplay_back_annotation_in_Line = Falsedisplay_param_list = Falseauto_fit_select_set = Falseshow_full_name = Falsedisplay_short_name = Trueappend_view_obj = TruecmdToolbar = TruemsgToolbar = TrueschTipBackColor = ID_GRAY6 lineSolidschRubberBandColor = ID_YELLOW5 lineSolidtoolbar = TruemsgLine = TrueportName = FalsepinName = FalseinstName = FalselocalNetName = FalseparameterList = FalsehighContrastMode = Falseannotate = FalseannotateInColor = FalseannotateLeadingZeros = FalseautoFit = FalsestopOnModuleBoundary = FalsestopOnFSM = TrueshortName = TrueDetailRTL = TrueDetailMux = TrueDetailLevel = 4RecogFSM = TrueExpandGenBlock = TruesdfDelayType = TypicalsdfDelayPrecision = 0.01[schematics_print]Signature = FALSEDesignName = PCUDesignerName = baiSignatureLocation = LowerRightMultiPage = TRUEAutoSliver = FALSE[surefire]TPLanguage = VerilogTPName = SureFireTPPath = verilogAddImportArgument = TRUELineBreakWithScope = TRUEStopAfterCompileOption = -tcl[turboSchema_Printer_Options]Orientation = Landscape         [wave.0]viewPort = 70 407 958 361 98 63[wave.1]viewPort = 239 485 958 361 106 71[wave.2]viewPort = 38 314 686 205 100 65[wave.3]viewPort = 63 63 700 400 65 41[wave.4]viewPort = 84 84 700 400 65 41[wave.5]viewPort = 92 105 700 400 65 41[wave.6]viewPort = 0 0 700 400 65 41[wave.7]viewPort = 21 21 700 400 65 41

?? 快捷鍵說明

復制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
国产揄拍国内精品对白| 日韩一区二区三区免费观看| 久久综合九色综合欧美98| 三级在线观看一区二区| 欧美日本在线一区| 日日欢夜夜爽一区| 日韩一区二区三区视频在线观看| 日本亚洲一区二区| 欧美本精品男人aⅴ天堂| 国产在线国偷精品免费看| 老司机免费视频一区二区| 久久嫩草精品久久久久| 国产美女在线精品| 中文字幕精品—区二区四季| 91香蕉视频污| 亚洲va中文字幕| 日韩免费看的电影| 暴力调教一区二区三区| 亚洲一区二区视频在线| 91精品国产高清一区二区三区蜜臀 | 国产精品久久影院| 91论坛在线播放| 蜜桃av噜噜一区二区三区小说| 久久尤物电影视频在线观看| 91亚洲永久精品| 免费人成在线不卡| 国产精品免费观看视频| 欧美日韩亚洲高清一区二区| 狠狠色丁香婷婷综合久久片| 亚洲另类在线视频| 精品国产精品一区二区夜夜嗨| 成a人片国产精品| 日本vs亚洲vs韩国一区三区二区| 国产亚洲女人久久久久毛片| 亚洲综合色网站| 欧美成人一区二区三区在线观看 | 精品国产123| 色呦呦网站一区| 国产真实乱对白精彩久久| 亚洲欧美激情插 | 2023国产一二三区日本精品2022| 99精品欧美一区二区蜜桃免费 | 一本大道av伊人久久综合| 免费成人av在线播放| 亚洲欧美日韩国产成人精品影院| 日韩欧美高清在线| 欧美色视频在线| 成人精品国产一区二区4080| 久久国产人妖系列| 午夜精品影院在线观看| 成人欧美一区二区三区在线播放| 精品久久久久久久久久久久包黑料| 在线视频亚洲一区| 国产.精品.日韩.另类.中文.在线.播放| 午夜一区二区三区视频| 中文字幕中文字幕一区二区 | 久久夜色精品国产噜噜av| 欧美三区在线观看| av一区二区不卡| 国产传媒一区在线| 麻豆精品久久久| 天天操天天色综合| 亚洲r级在线视频| 一区二区高清视频在线观看| 中文字幕成人网| 久久精品男人的天堂| 精品久久国产字幕高潮| 欧美在线免费观看亚洲| 国产欧美中文在线| 在线亚洲一区观看| 色呦呦网站一区| 亚洲欧美日韩小说| 69堂成人精品免费视频| 欧洲精品中文字幕| 色狠狠综合天天综合综合| 成人av免费在线| 99这里都是精品| 99久久精品国产观看| 成人一区在线看| 成人不卡免费av| 国产91富婆露脸刺激对白| 高清av一区二区| 粉嫩绯色av一区二区在线观看| 国产一区二区精品久久| 国产乱理伦片在线观看夜一区| 国产在线视频一区二区| 国产乱子轮精品视频| 成人亚洲精品久久久久软件| 国产91色综合久久免费分享| 91在线精品一区二区| 91成人在线观看喷潮| 欧美日韩成人综合天天影院| 3d成人h动漫网站入口| 日韩精品一区二区三区在线观看| 欧美一区二区观看视频| 久久综合久久综合久久综合| 日韩视频一区二区| 亚洲午夜av在线| 丝袜a∨在线一区二区三区不卡| 婷婷综合另类小说色区| 激情文学综合插| 成人美女视频在线观看18| 色婷婷精品大视频在线蜜桃视频 | 亚洲国产综合在线| 日韩av电影一区| 国产一区中文字幕| 色中色一区二区| 欧美一区二区黄| 中文字幕不卡在线观看| 亚洲免费视频成人| 另类专区欧美蜜桃臀第一页| 成人久久视频在线观看| 欧美无砖砖区免费| 精品久久久久久久久久久久久久久| 国产精品女人毛片| 国产乱码精品一品二品| 一区二区三区在线播| 日韩欧美高清一区| 91黄色免费网站| 国产成人日日夜夜| 日韩va欧美va亚洲va久久| 国产精品美女久久久久久久久久久 | 国产精品久久久久久久久久免费看 | 欧美日韩精品久久久| 国产成人aaa| 日本欧美一区二区三区| 亚洲女子a中天字幕| 久久综合色播五月| 欧美久久久一区| 91色porny蝌蚪| 国产成人免费xxxxxxxx| 日韩精品国产精品| 一区二区三区四区不卡视频| 国产亚洲欧美一区在线观看| 日韩欧美一区中文| 欧美浪妇xxxx高跟鞋交| 在线一区二区观看| 99国产精品久| kk眼镜猥琐国模调教系列一区二区| 奇米在线7777在线精品| 亚洲综合一区二区三区| 亚洲免费三区一区二区| 中文字幕精品—区二区四季| 久久久久久久久伊人| 99久久精品久久久久久清纯| 91精品欧美久久久久久动漫 | 一区二区三区产品免费精品久久75| 国产成人在线影院| 欧美电影免费观看高清完整版| 亚洲高清免费在线| 91蝌蚪porny九色| 中文字幕电影一区| 国产精品538一区二区在线| 日韩三级免费观看| 日韩精品一级中文字幕精品视频免费观看 | 国内精品伊人久久久久av影院| 欧美日韩黄色影视| 亚洲美女视频在线| 成人av综合在线| 欧美极品另类videosde| 国产一区二区调教| 欧美精品一区二区三区四区| 美女脱光内衣内裤视频久久网站| 欧美日韩一区 二区 三区 久久精品| 亚洲三级在线观看| 一本色道久久综合亚洲91 | 欧美不卡一区二区三区四区| 免费成人av资源网| 日韩一二三四区| 久久99精品一区二区三区| 日韩视频免费观看高清完整版在线观看| 天天综合网 天天综合色| 亚洲图片欧美一区| 洋洋av久久久久久久一区| 日韩一区精品视频| 国产精品1区二区.| 欧美在线短视频| 欧美不卡一区二区三区四区| 国产精品人妖ts系列视频| 亚洲一区二区三区在线看| 美洲天堂一区二卡三卡四卡视频 | 欧美国产日韩亚洲一区| 亚洲欧美偷拍另类a∨色屁股| 亚洲一区二区三区中文字幕在线| 香蕉久久一区二区不卡无毒影院| 欧美aⅴ一区二区三区视频| 国产91精品一区二区麻豆网站| 91色九色蝌蚪| 精品国产自在久精品国产| 综合欧美亚洲日本| 久久精品国产99| 一本大道av伊人久久综合| 欧美不卡视频一区| 亚洲一区欧美一区| 国产麻豆精品久久一二三| 欧美中文字幕一区二区三区 | 色噜噜狠狠成人中文综合| 日韩网站在线看片你懂的| 国产精品欧美极品| 另类调教123区|