亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關于我們
? 蟲蟲下載站

?? dpram8x32.v

?? 一本老師推薦的經典的VHDL覆蓋基礎的入門書籍
?? V
字號:
// megafunction wizard: %RAM: 2-PORT%
// GENERATION: STANDARD
// VERSION: WM1.0
// MODULE: altsyncram 

// ============================================================
// File Name: dpram8x32.v
// Megafunction Name(s):
// 			altsyncram
// ============================================================
// ************************************************************
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
//
// 4.0 Build 190 1/28/2004 SJ Full Version
// ************************************************************


//Copyright (C) 1991-2004 Altera Corporation
//Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
//support information,  device programming or simulation file,  and any other
//associated  documentation or information  provided by  Altera  or a partner
//under  Altera's   Megafunction   Partnership   Program  may  be  used  only
//to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
//other  use  of such  megafunction  design,  netlist,  support  information,
//device programming or simulation file,  or any other  related documentation
//or information  is prohibited  for  any  other purpose,  including, but not
//limited to  modification,  reverse engineering,  de-compiling, or use  with
//any other  silicon devices,  unless such use is  explicitly  licensed under
//a separate agreement with  Altera  or a megafunction partner.  Title to the
//intellectual property,  including patents,  copyrights,  trademarks,  trade
//secrets,  or maskworks,  embodied in any such megafunction design, netlist,
//support  information,  device programming or simulation file,  or any other
//related documentation or information provided by  Altera  or a megafunction
//partner, remains with Altera, the megafunction partner, or their respective
//licensors. No other licenses, including any licenses needed under any third
//party's intellectual property, are provided herein.


// synopsys translate_off
`timescale 1 ps / 1 ps
// synopsys translate_on
module dpram8x32 (
	data,
	wren,
	wraddress,
	rdaddress,
	rden,
	clock,
	aclr,
	q);

	input	[7:0]  data;
	input	  wren;
	input	[4:0]  wraddress;
	input	[4:0]  rdaddress;
	input	  rden;
	input	  clock;
	input	  aclr;
	output	[7:0]  q;

	wire [7:0] sub_wire0;
	wire [7:0] q = sub_wire0[7:0];

	altsyncram	altsyncram_component (
				.wren_a (wren),
				.aclr0 (aclr),
				.clock0 (clock),
				.address_a (wraddress),
				.address_b (rdaddress),
				.rden_b (rden),
				.data_a (data),
				.q_b (sub_wire0)
				// synopsys translate_off
,
				.wren_b (),
				.data_b (),
				.clock1 (),
				.clocken0 (),
				.clocken1 (),
				.aclr1 (),
				.byteena_a (),
				.byteena_b (),
				.addressstall_a (),
				.addressstall_b (),
				.q_a ()
				// synopsys translate_on

);
	defparam
		altsyncram_component.intended_device_family = "Stratix",
		altsyncram_component.operation_mode = "DUAL_PORT",
		altsyncram_component.width_a = 8,
		altsyncram_component.widthad_a = 5,
		altsyncram_component.numwords_a = 32,
		altsyncram_component.width_b = 8,
		altsyncram_component.widthad_b = 5,
		altsyncram_component.numwords_b = 32,
		altsyncram_component.lpm_type = "altsyncram",
		altsyncram_component.width_byteena_a = 1,
		altsyncram_component.outdata_reg_b = "CLOCK0",
		altsyncram_component.indata_aclr_a = "CLEAR0",
		altsyncram_component.wrcontrol_aclr_a = "CLEAR0",
		altsyncram_component.address_aclr_a = "CLEAR0",
		altsyncram_component.address_reg_b = "CLOCK0",
		altsyncram_component.rdcontrol_reg_b = "CLOCK0",
		altsyncram_component.rdcontrol_aclr_b = "CLEAR0",
		altsyncram_component.address_aclr_b = "CLEAR0",
		altsyncram_component.outdata_aclr_b = "CLEAR0",
		altsyncram_component.read_during_write_mode_mixed_ports = "DONT_CARE",
		altsyncram_component.ram_block_type = "AUTO";


endmodule

// ============================================================
// CNX file retrieval info
// ============================================================
// Retrieval info: PRIVATE: MEM_IN_BITS NUMERIC "0"
// Retrieval info: PRIVATE: OPERATION_MODE NUMERIC "2"
// Retrieval info: PRIVATE: UseDPRAM NUMERIC "1"
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Stratix"
// Retrieval info: PRIVATE: VarWidth NUMERIC "0"
// Retrieval info: PRIVATE: WIDTH_WRITE_A NUMERIC "8"
// Retrieval info: PRIVATE: WIDTH_WRITE_B NUMERIC "8"
// Retrieval info: PRIVATE: WIDTH_READ_A NUMERIC "8"
// Retrieval info: PRIVATE: WIDTH_READ_B NUMERIC "8"
// Retrieval info: PRIVATE: MEMSIZE NUMERIC "256"
// Retrieval info: PRIVATE: Clock NUMERIC "0"
// Retrieval info: PRIVATE: rden NUMERIC "1"
// Retrieval info: PRIVATE: BYTE_ENABLE_A NUMERIC "0"
// Retrieval info: PRIVATE: BYTE_ENABLE_B NUMERIC "0"
// Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"
// Retrieval info: PRIVATE: Clock_A NUMERIC "0"
// Retrieval info: PRIVATE: Clock_B NUMERIC "0"
// Retrieval info: PRIVATE: REGdata NUMERIC "1"
// Retrieval info: PRIVATE: REGwraddress NUMERIC "1"
// Retrieval info: PRIVATE: REGwren NUMERIC "1"
// Retrieval info: PRIVATE: REGrdaddress NUMERIC "1"
// Retrieval info: PRIVATE: REGrren NUMERIC "1"
// Retrieval info: PRIVATE: REGq NUMERIC "0"
// Retrieval info: PRIVATE: INDATA_REG_B NUMERIC "0"
// Retrieval info: PRIVATE: WRADDR_REG_B NUMERIC "0"
// Retrieval info: PRIVATE: OUTDATA_REG_B NUMERIC "1"
// Retrieval info: PRIVATE: CLRdata NUMERIC "1"
// Retrieval info: PRIVATE: CLRwren NUMERIC "1"
// Retrieval info: PRIVATE: CLRwraddress NUMERIC "1"
// Retrieval info: PRIVATE: CLRrdaddress NUMERIC "1"
// Retrieval info: PRIVATE: CLRrren NUMERIC "1"
// Retrieval info: PRIVATE: CLRq NUMERIC "0"
// Retrieval info: PRIVATE: BYTEENA_ACLR_A NUMERIC "0"
// Retrieval info: PRIVATE: INDATA_ACLR_B NUMERIC "0"
// Retrieval info: PRIVATE: WRCTRL_ACLR_B NUMERIC "0"
// Retrieval info: PRIVATE: WRADDR_ACLR_B NUMERIC "0"
// Retrieval info: PRIVATE: OUTDATA_ACLR_B NUMERIC "1"
// Retrieval info: PRIVATE: BYTEENA_ACLR_B NUMERIC "0"
// Retrieval info: PRIVATE: enable NUMERIC "0"
// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0"
// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0"
// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_B NUMERIC "0"
// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_B NUMERIC "0"
// Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
// Retrieval info: PRIVATE: ADDRESSSTALL_B NUMERIC "0"
// Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_MIXED_PORTS NUMERIC "2"
// Retrieval info: PRIVATE: BlankMemory NUMERIC "1"
// Retrieval info: PRIVATE: MIFfilename STRING ""
// Retrieval info: PRIVATE: UseLCs NUMERIC "0"
// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
// Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
// Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_B"
// Retrieval info: PRIVATE: MEGAFN_PORT_INFO_0 STRING "wren_a;wren_b;rden_b;data_a;data_b"
// Retrieval info: PRIVATE: MEGAFN_PORT_INFO_1 STRING "address_a;address_b;clock0;clock1;clocken0"
// Retrieval info: PRIVATE: MEGAFN_PORT_INFO_2 STRING "clocken1;aclr0;aclr1;byteena_a;byteena_b"
// Retrieval info: PRIVATE: MEGAFN_PORT_INFO_3 STRING "addressstall_a;addressstall_b;q_a;q_b"
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Stratix"
// Retrieval info: CONSTANT: OPERATION_MODE STRING "DUAL_PORT"
// Retrieval info: CONSTANT: WIDTH_A NUMERIC "8"
// Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "5"
// Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "32"
// Retrieval info: CONSTANT: WIDTH_B NUMERIC "8"
// Retrieval info: CONSTANT: WIDTHAD_B NUMERIC "5"
// Retrieval info: CONSTANT: NUMWORDS_B NUMERIC "32"
// Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
// Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1"
// Retrieval info: CONSTANT: OUTDATA_REG_B STRING "CLOCK0"
// Retrieval info: CONSTANT: INDATA_ACLR_A STRING "CLEAR0"
// Retrieval info: CONSTANT: WRCONTROL_ACLR_A STRING "CLEAR0"
// Retrieval info: CONSTANT: ADDRESS_ACLR_A STRING "CLEAR0"
// Retrieval info: CONSTANT: ADDRESS_REG_B STRING "CLOCK0"
// Retrieval info: CONSTANT: RDCONTROL_REG_B STRING "CLOCK0"
// Retrieval info: CONSTANT: RDCONTROL_ACLR_B STRING "CLEAR0"
// Retrieval info: CONSTANT: ADDRESS_ACLR_B STRING "CLEAR0"
// Retrieval info: CONSTANT: OUTDATA_ACLR_B STRING "CLEAR0"
// Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_MIXED_PORTS STRING "DONT_CARE"
// Retrieval info: CONSTANT: RAM_BLOCK_TYPE STRING "AUTO"
// Retrieval info: USED_PORT: data 0 0 8 0 INPUT NODEFVAL data[7..0]
// Retrieval info: USED_PORT: wren 0 0 0 0 INPUT VCC wren
// Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL q[7..0]
// Retrieval info: USED_PORT: wraddress 0 0 5 0 INPUT NODEFVAL wraddress[4..0]
// Retrieval info: USED_PORT: rdaddress 0 0 5 0 INPUT NODEFVAL rdaddress[4..0]
// Retrieval info: USED_PORT: rden 0 0 0 0 INPUT VCC rden
// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL clock
// Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT GND aclr
// Retrieval info: CONNECT: @data_a 0 0 8 0 data 0 0 8 0
// Retrieval info: CONNECT: @wren_a 0 0 0 0 wren 0 0 0 0
// Retrieval info: CONNECT: q 0 0 8 0 @q_b 0 0 8 0
// Retrieval info: CONNECT: @address_a 0 0 5 0 wraddress 0 0 5 0
// Retrieval info: CONNECT: @address_b 0 0 5 0 rdaddress 0 0 5 0
// Retrieval info: CONNECT: @rden_b 0 0 0 0 rden 0 0 0 0
// Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0
// Retrieval info: CONNECT: @aclr0 0 0 0 0 aclr 0 0 0 0
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
// Retrieval info: GEN_FILE: TYPE_NORMAL dpram8x32.v TRUE
// Retrieval info: GEN_FILE: TYPE_NORMAL dpram8x32.inc FALSE
// Retrieval info: GEN_FILE: TYPE_NORMAL dpram8x32.cmp FALSE
// Retrieval info: GEN_FILE: TYPE_NORMAL dpram8x32.bsf FALSE
// Retrieval info: GEN_FILE: TYPE_NORMAL dpram8x32_inst.v FALSE
// Retrieval info: GEN_FILE: TYPE_NORMAL dpram8x32_bb.v TRUE
// Retrieval info: GEN_FILE: TYPE_NORMAL dpram8x32_waveforms.html TRUE
// Retrieval info: GEN_FILE: TYPE_NORMAL dpram8x32_wave*.jpg FALSE

?? 快捷鍵說明

復制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
国产精品乱子久久久久| gogogo免费视频观看亚洲一| 99久久精品国产一区二区三区 | 亚洲成人免费av| 成人午夜电影小说| 精品久久久久香蕉网| 日韩主播视频在线| 欧美在线999| 亚洲欧美电影院| 成人动漫在线一区| 久久久www免费人成精品| 五月天亚洲婷婷| 91成人看片片| 亚洲欧美另类在线| 成人白浆超碰人人人人| 26uuu精品一区二区三区四区在线| 视频一区二区三区入口| 欧美日韩中文字幕精品| 亚洲日本电影在线| 99国产一区二区三精品乱码| 欧美国产一区在线| 成人网页在线观看| 国产精品欧美极品| www.在线欧美| 亚洲人成网站精品片在线观看| 成人免费毛片app| 国产精品素人一区二区| 国产suv精品一区二区三区| 精品国产91亚洲一区二区三区婷婷| 日韩成人dvd| 精品日韩在线一区| 韩国成人在线视频| 国产亚洲欧美激情| 国产成人av影院| 国产精品沙发午睡系列990531| 成人激情免费网站| 日韩美女精品在线| 在线亚洲精品福利网址导航| 偷拍一区二区三区四区| 日韩一级大片在线观看| 狠狠色狠狠色综合| 中文字幕不卡在线| 91麻豆国产精品久久| 亚洲r级在线视频| 欧美一级免费大片| 国产乱码一区二区三区| 亚洲国产成人自拍| 欧美亚洲丝袜传媒另类| 日韩精品国产欧美| 久久精品亚洲国产奇米99| 99久久精品久久久久久清纯| 亚洲成a人在线观看| 欧美电影免费观看高清完整版| 国产精品18久久久久久久久| 日韩一区在线看| 欧美日韩国产在线观看| 狠狠色伊人亚洲综合成人| 国产精品高潮久久久久无| 欧美日韩一本到| 国产一区二区精品久久| 1000部国产精品成人观看| 欧美日韩黄视频| 国产一区二区导航在线播放| 伊人色综合久久天天人手人婷| 欧美一区二区在线免费播放| 国产高清亚洲一区| 午夜天堂影视香蕉久久| 久久综合一区二区| 久久亚洲免费视频| 久久久影视传媒| 色综合天天综合网国产成人综合天| 亚洲一区在线视频| 精品国产3级a| 欧美日韩国产一二三| 国产一区二区三区四| 午夜影院久久久| 欧美经典一区二区三区| 欧美人与禽zozo性伦| 成人免费视频网站在线观看| 免费久久99精品国产| 亚洲私人黄色宅男| 精品国产一区二区精华| 色一区在线观看| 国产激情视频一区二区三区欧美| 午夜精品久久久久久久久久久 | 亚洲一区二区三区中文字幕在线| 在线综合+亚洲+欧美中文字幕| 高清成人免费视频| 天天操天天色综合| 国产精品的网站| 精品国产乱子伦一区| 欧美日韩成人高清| 在线观看视频91| 成人动漫一区二区三区| 国产麻豆一精品一av一免费| 午夜成人免费视频| 亚洲午夜精品网| 中文字幕人成不卡一区| 国产午夜精品福利| 久久这里只有精品视频网| 91精品国产综合久久久蜜臀粉嫩| 91久久精品一区二区二区| 成人av先锋影音| 国产a级毛片一区| 国产精品中文字幕日韩精品| 久久99精品国产麻豆婷婷洗澡| 日本欧美大码aⅴ在线播放| 午夜视频一区在线观看| 亚洲国产视频a| 亚洲制服丝袜av| 亚洲v日本v欧美v久久精品| 亚洲午夜影视影院在线观看| 亚洲精品免费电影| 亚洲香蕉伊在人在线观| 亚洲一区二区三区三| 亚洲成年人网站在线观看| 天堂资源在线中文精品| 午夜精品久久久久久久蜜桃app| 香蕉av福利精品导航 | 日韩一区欧美一区| 日韩一区在线看| 亚洲制服丝袜av| 丝瓜av网站精品一区二区| 水蜜桃久久夜色精品一区的特点| 丝袜诱惑制服诱惑色一区在线观看| 午夜久久久久久久久| 青青草原综合久久大伊人精品| 久久国产视频网| 国产不卡视频一区二区三区| 成人av午夜电影| 欧美性生活影院| 91麻豆精品国产91久久久久| 精品国一区二区三区| 国产日韩欧美高清| 亚洲桃色在线一区| 午夜精品一区二区三区三上悠亚| 久久精品国产77777蜜臀| 国产精品亚洲第一| 一本色道久久加勒比精品 | 蜜桃久久av一区| 看片网站欧美日韩| 99在线精品视频| 欧美视频在线一区二区三区| 日韩午夜在线观看| 中文字幕精品综合| 午夜精品久久久久久不卡8050| 黑人巨大精品欧美黑白配亚洲| 成人av手机在线观看| 欧美日韩一卡二卡| 久久九九久久九九| 亚洲综合另类小说| 国产高清精品久久久久| 日本道色综合久久| 欧美电影精品一区二区| 亚洲欧美日韩在线播放| 久久精品999| 日本福利一区二区| 久久九九全国免费| 天堂精品中文字幕在线| 成人av资源下载| 精品欧美一区二区三区精品久久 | 国产精品免费网站在线观看| 一区二区三区电影在线播| 加勒比av一区二区| 欧美亚洲综合另类| 国产日韩欧美激情| 免费看精品久久片| 欧美三级电影在线观看| 欧美激情中文不卡| 免费成人性网站| 91国产视频在线观看| 国产精品理论在线观看| 激情小说欧美图片| 欧美丰满高潮xxxx喷水动漫| 中文字幕一区二区5566日韩| 激情综合网天天干| 在线播放日韩导航| 亚洲资源在线观看| 欧美中文字幕一区二区三区亚洲| 久久久一区二区三区捆绑**| 亚洲精品精品亚洲| 不卡视频一二三| 国产日韩欧美在线一区| 另类中文字幕网| 欧美视频一区二区在线观看| 综合欧美亚洲日本| 懂色av中文一区二区三区| 欧美精品一区二区三| 蜜臀av在线播放一区二区三区| 欧美视频在线一区二区三区| 夜色激情一区二区| 在线中文字幕一区| 亚洲国产一区视频| 在线观看日韩电影| 一区二区三区欧美日| 欧美亚洲国产一区在线观看网站| 亚洲精品乱码久久久久久黑人| 不卡av在线免费观看| 亚洲欧美在线视频| 在线一区二区三区做爰视频网站|