?? altera_mf_components.vhd
字號:
);
port
( wren : in std_logic := '0';
data : in std_logic_vector(width-1 downto 0);
wraddress : in std_logic_vector(widthad-1 downto 0);
inclock : in std_logic := '0';
inclocken : in std_logic := '1';
rden_a : in std_logic := '1';
rden_b : in std_logic := '1';
rdaddress_a : in std_logic_vector(widthad-1 downto 0);
rdaddress_b : in std_logic_vector(widthad-1 downto 0);
outclock : in std_logic := '0';
outclocken : in std_logic := '1';
aclr : in std_logic := '0';
qa : out std_logic_vector(width-1 downto 0);
qb : out std_logic_vector(width-1 downto 0) );
end component;
component altqpram
generic
( operation_mode : string := "QUAD_PORT";
width_write_a : natural := 1;
widthad_write_a : natural := 1;
numwords_write_a : natural := 0; -- default = 2^widthad_write_a
indata_reg_a : string := "INCLOCK_A";
indata_aclr_a : string := "INACLR_A";
wrcontrol_wraddress_reg_a : string := "INCLOCK_A";
wrcontrol_aclr_a : string := "INACLR_A";
wraddress_aclr_a : string := "INACLR_A";
width_write_b : natural := 1; -- default = width_write_a
widthad_write_b : natural := 1; -- default = widthad_write_a
numwords_write_b : natural := 0; -- default = 2^widthad_write_b
indata_reg_b : string := "INCLOCK_B";
indata_aclr_b : string := "INACLR_B";
wrcontrol_wraddress_reg_b : string := "INCLOCK_B";
wrcontrol_aclr_b : string := "INACLR_B";
wraddress_aclr_b : string := "INACLR_B";
width_read_a : natural := 1;
widthad_read_a : natural := 1;
numwords_read_a : natural := 0; -- default = 2^widthad_read_a
rdcontrol_reg_a : string := "OUTCLOCK_A";
rdcontrol_aclr_a : string := "OUTACLR_A";
rdaddress_reg_a : string := "OUTCLOCK_A";
rdaddress_aclr_a : string := "OUTACLR_A";
outdata_reg_a : string := "UNREGISTERED";
outdata_aclr_a : string := "OUTACLR_A";
width_read_b : natural := 1; -- default = width_read_a
widthad_read_b : natural := 1; -- default = widthad_read_a
numwords_read_b : natural := 0; -- default = 2^widthad_read_b
rdcontrol_reg_b : string := "OUTCLOCK_B";
rdcontrol_aclr_b : string := "OUTACLR_B";
rdaddress_reg_b : string := "OUTCLOCK_B";
rdaddress_aclr_b : string := "OUTACLR_B";
outdata_reg_b : string := "UNREGISTERED";
outdata_aclr_b : string := "OUTACLR_B";
init_file : string := "UNUSED";
lpm_hint : string := "UNUSED";
lpm_type : string := "altqpram" );
port
( wren_a : in std_logic := '0';
wren_b : in std_logic := '0';
data_a : in std_logic_vector(width_write_a-1 downto 0) := (OTHERS => '0');
data_b : in std_logic_vector(width_write_b-1 downto 0) := (OTHERS => '0');
wraddress_a : in std_logic_vector(widthad_write_a-1 downto 0) := (OTHERS => '0');
wraddress_b : in std_logic_vector(widthad_write_b-1 downto 0) := (OTHERS => '0');
inclock_a : in std_logic := '0';
inclock_b : in std_logic := '0';
inclocken_a : in std_logic := '1';
inclocken_b : in std_logic := '1';
rden_a : in std_logic := '1';
rden_b : in std_logic := '1';
rdaddress_a : in std_logic_vector(widthad_read_a-1 downto 0) := (OTHERS => '0');
rdaddress_b : in std_logic_vector(widthad_read_b-1 downto 0) := (OTHERS => '0');
outclock_a : in std_logic := '0';
outclock_b : in std_logic := '0';
outclocken_a : in std_logic := '1';
outclocken_b : in std_logic := '1';
inaclr_a : in std_logic := '0';
inaclr_b : in std_logic := '0';
outaclr_a : in std_logic := '0';
outaclr_b : in std_logic := '0';
q_a : out std_logic_vector(width_read_a-1 downto 0);
q_b : out std_logic_vector(width_read_b-1 downto 0) );
end component;
component scfifo
generic
( lpm_width : natural;
lpm_widthu : natural;
lpm_numwords : natural;
lpm_showahead : string := "OFF";
lpm_hint : string := "USE_EAB=ON";
intended_device_family : string := "NON_STRATIX";
almost_full_value : natural := 0;
almost_empty_value : natural := 0;
overflow_checking : string := "ON";
underflow_checking : string := "ON";
allow_rwcycle_when_full : string := "OFF";
use_eab : string := "ON";
lpm_type : string := "scfifo"
);
port
( data : in std_logic_vector(lpm_width-1 downto 0);
clock : in std_logic;
wrreq : in std_logic;
rdreq : in std_logic;
aclr : in std_logic := '0';
sclr : in std_logic := '0';
full : out std_logic;
almost_full : out std_logic;
empty : out std_logic;
almost_empty : out std_logic;
q : out std_logic_vector(lpm_width-1 downto 0);
usedw : out std_logic_vector(lpm_widthu-1 downto 0)
);
end component;
component dcfifo
generic
( lpm_width : natural;
lpm_widthu : natural;
lpm_numwords : natural;
lpm_showahead : string := "OFF";
lpm_hint : string := "USE_EAB=ON";
overflow_checking : string := "ON";
underflow_checking : string := "ON";
delay_rdusedw : natural := 1;
delay_wrusedw : natural := 1;
rdsync_delaypipe : natural := 3;
wrsync_delaypipe : natural := 3;
use_eab : string := "ON";
add_ram_output_register : string := "OFF";
add_width : natural := 1;
clocks_are_synchronized : string := "FALSE";
lpm_type : string := "dcfifo";
intended_device_family : string := "NON_STRATIX" );
port
( data : in std_logic_vector(lpm_width-1 downto 0);
rdclk : in std_logic;
wrclk : in std_logic;
wrreq : in std_logic;
rdreq : in std_logic;
aclr : in std_logic := '0';
rdfull : out std_logic;
wrfull : out std_logic;
wrempty : out std_logic;
rdempty : out std_logic;
q : out std_logic_vector(lpm_width-1 downto 0);
rdusedw : out std_logic_vector(lpm_widthu-1 downto 0);
wrusedw : out std_logic_vector(lpm_widthu-1 downto 0));
end component;
component alt_exc_dpram
generic
( width : integer;
addrwidth : integer;
depth : integer;
ramblock : integer := 65535;
operation_mode : string := "SINGLE_PORT";
output_mode : string := "REG";
lpm_file : string := "NONE";
lpm_type : string := "alt_exc_dpram"
);
port
( portaclk : in std_logic := '0';
portaena : in std_logic := '0';
portawe : in std_logic := '0';
portaaddr : in std_logic_vector(addrwidth-1 downto 0) := (others =>'0');
portadatain : in std_logic_vector(width-1 downto 0) := (others =>'0');
portadataout : out std_logic_vector(width-1 downto 0);
portbclk : in std_logic := '0';
portbena : in std_logic := '0';
portbwe : in std_logic := '0';
portbaddr : in std_logic_vector(addrwidth-1 downto 0) := (others =>'0');
portbdatain : in std_logic_vector(width-1 downto 0) := (others =>'0');
portbdataout : out std_logic_vector(width-1 downto 0));
end component;
component alt_exc_upcore
generic
( processor : string := "ARM";
source : string := "";
sdram_width : integer := 32;
sdramdqm_width : integer := 4;
gpio_width : integer := 4;
lpm_type : string := "alt_exc_upcore"
);
port
( npor : in std_logic := '1';
clk_ref : in std_logic := '0';
nreset : inout std_logic := '1';
intpld : in std_logic_vector(5 downto 0) := (others => '0');
intnmi : in std_logic := '0';
intuart : out std_logic;
inttimer0 : out std_logic;
inttimer1 : out std_logic;
intcommtx : out std_logic;
intcommrx : out std_logic;
intproctimer : out std_logic;
intprocbridge : out std_logic;
perreset : out std_logic;
debugrq : in std_logic := '0';
debugext0 : in std_logic := '0';
debugext1 : in std_logic := '0';
debugiebrkpt : in std_logic := '0';
debugdewpt : in std_logic := '0';
debugextin : in std_logic_vector(3 downto 0) := (others => '0');
debugack : out std_logic;
debugrng0 : out std_logic;
debugrng1 : out std_logic;
debugextout : out std_logic_vector(3 downto 0);
slavehclk : in std_logic := '0';
slavehwrite : in std_logic := '0';
slavehreadyi : in std_logic := '0';
slavehselreg : in std_logic := '0';
slavehsel : in std_logic := '0';
slavehmastlock : in std_logic := '0';
slavehaddr : in std_logic_vector(31 downto 0) := (others => '0');
slavehwdata : in std_logic_vector(31 downto 0) := (others => '0');
slavehtrans : in std_logic_vector(1 downto 0) := (others => '0');
slavehsize : in std_logic_vector(1 downto 0) := (others => '0');
slavehburst : in std_logic_vector(2 downto 0) := (others => '0');
slavehreadyo : out std_logic;
slavebuserrint : out std_logic;
slavehrdata : out std_logic_vector(31 downto 0);
slavehresp : out std_logic_vector(1 downto 0);
masterhclk : in std_logic := '0';
masterhrdata : in std_logic_vector(31 downto 0) := (others => '0');
masterhresp : in std_logic_vector(1 downto 0) := (others => '0');
masterhwrite : out std_logic;
masterhlock : out std_logic;
masterhbusreq : out std_logic;
masterhaddr : out std_logic_vector(31 downto 0);
masterhwdata : out std_logic_vector(31 downto 0);
masterhtrans : out std_logic_vector(1 downto 0);
masterhsize : out std_logic_vector(1 downto 0);
masterhready : in std_logic := '0';
masterhburst : out std_logic_vector(2 downto 0);
masterhgrant : in std_logic := '0';
lockreqdp0 : in std_logic := '0';
lockreqdp1 : in std_logic := '0';
lockgrantdp0 : out std_logic;
lockgrantdp1 : out std_logic;
ebiack : in std_logic := '0';
ebiwen : out std_logic;
ebioen : out std_logic;
ebiclk : out std_logic;
ebibe : out std_logic_vector(1 downto 0);
ebicsn : out std_logic_vector(3 downto 0);
ebiaddr : out std_logic_vector(24 downto 0);
ebidq : inout std_logic_vector(15 downto 0) := (others => '0');
?? 快捷鍵說明
復制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號
Ctrl + =
減小字號
Ctrl + -