?? altera_mf_87.vhd
字號:
-- Copyright (C) 1988-2004 Altera Corporation
-- Any megafunction design, and related netlist (encrypted or decrypted),
-- support information, device programming or simulation file, and any other
-- associated documentation or information provided by Altera or a partner
-- under Altera's Megafunction Partnership Program may be used only
-- to program PLD devices (but not masked PLD devices) from Altera. Any
-- other use of such megafunction design, netlist, support information,
-- device programming or simulation file, or any other related documentation
-- or information is prohibited for any other purpose, including, but not
-- limited to modification, reverse engineering, de-compiling, or use with
-- any other silicon devices, unless such use is explicitly licensed under
-- a separate agreement with Altera or a megafunction partner. Title to the
-- intellectual property, including patents, copyrights, trademarks, trade
-- secrets, or maskworks, embodied in any such megafunction design, netlist,
-- support information, device programming or simulation file, or any other
-- related documentation or information provided by Altera or a megafunction
-- partner, remains with Altera, the megafunction partner, or their respective
-- licensors. No other licenses, including any licenses needed under any third
-- party's intellectual property, are provided herein.
-- Quartus II 4.0 Build 190 1/28/2004
---START_PACKAGE_HEADER-----------------------------------------------------
--
-- Package Name : ALTERA_DEVICE_FAMILIES
--
-- Description : Common Altera device families comparison
--
---END_PACKAGE_HEADER--------------------------------------------------------
-- BEGINING OF PRIMITIVES
Library ieee;
use ieee.std_logic_1164.all;
entity LCELL is
port(
a_in : in std_logic;
a_out : out std_logic);
end LCELL;
architecture BEHAVIOR of LCELL is
begin
a_out <= a_in;
end BEHAVIOR;
Library ieee;
use ieee.std_logic_1164.all;
entity GLOBAL is
port(
a_in : in std_logic;
a_out : out std_logic);
end GLOBAL;
architecture BEHAVIOR of GLOBAL is
begin
a_out <= a_in;
end BEHAVIOR;
Library ieee;
use ieee.std_logic_1164.all;
entity CARRY is
port(
a_in : in std_logic;
a_out : out std_logic);
end CARRY;
architecture BEHAVIOR of CARRY is
begin
a_out <= a_in;
end BEHAVIOR;
Library ieee;
use ieee.std_logic_1164.all;
entity CASCADE is
port(
a_in : in std_logic;
a_out : out std_logic);
end CASCADE;
architecture BEHAVIOR of CASCADE is
begin
a_out <= a_in;
end BEHAVIOR;
Library ieee;
use ieee.std_logic_1164.all;
entity CARRY_SUM is
port(
sin : in std_logic;
cin : in std_logic;
sout : out std_logic;
cout : out std_logic);
end CARRY_SUM;
architecture BEHAVIOR of CARRY_SUM is
begin
sout <= sin;
cout <= cin;
end BEHAVIOR;
Library ieee;
use ieee.std_logic_1164.all;
entity EXP is
port(
a_in : in std_logic;
a_out : out std_logic);
end EXP;
architecture BEHAVIOR of EXP is
begin
a_out <= not a_in;
end BEHAVIOR;
-- BEGINING OF PACKAGES
Library ieee;
use ieee.std_logic_1164.all;
-- PACKAGE DECLARATION
package ALTERA_DEVICE_FAMILIES is
-- FUNCTION DECLARATION
function IS_VALID_FAMILY (device: in string) return boolean;
function IS_FAMILY_APEX20K (device : in string) return boolean;
function IS_FAMILY_APEX20KE (device : in string) return boolean;
function IS_FAMILY_APEXII (device : in string) return boolean;
function IS_FAMILY_ACEX2K (device : in string) return boolean;
function IS_FAMILY_STRATIXGX (device : in string) return boolean;
function IS_FAMILY_STRATIX (device : in string) return boolean;
function IS_FAMILY_STRATIXHC (device : in string) return boolean;
function IS_FAMILY_MERCURY (device : in string) return boolean;
function IS_FAMILY_FLEX10KE (device : in string) return boolean;
function IS_FAMILY_STRATIXII (device : in string) return boolean;
function IS_FAMILY_MAXII (device : in string) return boolean;
end ALTERA_DEVICE_FAMILIES;
package body ALTERA_DEVICE_FAMILIES is
function IS_VALID_FAMILY (device : in string) return boolean is
variable is_valid : boolean := false;
begin
if (IS_FAMILY_APEX20K(device) or IS_FAMILY_APEX20KE(device) or IS_FAMILY_APEXII(
device) or IS_FAMILY_ACEX2K(device) or IS_FAMILY_STRATIXGX(device) or
IS_FAMILY_STRATIX(device) or IS_FAMILY_MERCURY(device) or
IS_FAMILY_STRATIXII(device) or IS_FAMILY_MAXII(device))
then
is_valid := true;
end if;
return is_valid;
end IS_VALID_FAMILY;
function IS_FAMILY_APEX20K (device : in string) return boolean is
variable is_20k : boolean := false;
begin
if (device = "APEX20K")
then
is_20k := true;
end if;
return is_20k;
end IS_FAMILY_APEX20K;
function IS_FAMILY_APEX20KE (device : in string) return boolean is
variable is_20ke : boolean := false;
begin
if ((device = "APEX20KE") or (device = "APEX20KC") or (device = "EXCALIBUR_ARM") or
(device = "EXCALIBUR_MIPS"))
then
is_20ke := true;
end if;
return is_20ke;
end IS_FAMILY_APEX20KE;
function IS_FAMILY_APEXII (device : in string) return boolean is
variable is_apexii : boolean := false;
begin
if ((device = "APEX II") or (device = "APEXII"))
then
is_apexii := true;
end if;
return is_apexii;
end IS_FAMILY_APEXII;
function IS_FAMILY_ACEX2K (device : in string) return boolean is
variable is_acex2k : boolean := false;
begin
if ((device = "CYCLONE") or (device = "Cyclone"))
then
is_acex2k := true;
end if;
return is_acex2k;
end IS_FAMILY_ACEX2K;
function IS_FAMILY_STRATIXGX (device : in string) return boolean is
variable is_stratixgx : boolean := false;
begin
if ((device = "STRATIX-GX") or (device = "STRATIX GX") or (device = "Stratix GX"))
then
is_stratixgx := true;
end if;
return is_stratixgx;
end IS_FAMILY_STRATIXGX;
function IS_FAMILY_STRATIX (device : in string) return boolean is
variable is_stratix : boolean := false;
begin
if ((device = "STRATIX") or (device = "Stratix"))
then
is_stratix := true;
end if;
return is_stratix;
end IS_FAMILY_STRATIX;
function IS_FAMILY_STRATIXHC (device : in string) return boolean is
variable is_stratixhc : boolean := false;
begin
if ((device = "STRATIXHC") or (device = "StratixHC") or
(device = "STRATIX HC") or (device = "Stratix HC") or
(device = "HardCopy Stratix") or (device = "HARDCOPY STRATIX"))
then
is_stratixhc := true;
end if;
return is_stratixhc;
end IS_FAMILY_STRATIXHC;
function IS_FAMILY_MERCURY (device : in string) return boolean is
variable is_mercury : boolean := false;
begin
if ((device = "MERCURY") or (device = "Mercury"))
then
is_mercury := true;
end if;
return is_mercury;
end IS_FAMILY_MERCURY;
function IS_FAMILY_FLEX10KE (device : in string) return boolean is
variable is_flex10ke : boolean := false;
begin
if ((device = "FLEX10KE") or (device = "FLEX 10KE") or
(device = "ACEX1K") or (device = "ACEX 1K"))
then
is_flex10ke := true;
end if;
return is_flex10ke;
end IS_FAMILY_FLEX10KE;
function IS_FAMILY_STRATIXII (device : in string) return boolean is
variable is_stratixii : boolean := false;
begin
if ((device = "Stratix II") or (device = "StratixII"))
then
is_stratixii := true;
end if;
return is_stratixii;
end IS_FAMILY_STRATIXII;
function IS_FAMILY_MAXII (device : in string) return boolean is
variable is_maxii : boolean := false;
begin
if ((device = "MAX II") or (device = "max ii") or
(device = "MAXII") or (device = "maxii"))
then
is_maxii := true;
end if;
return is_maxii;
end IS_FAMILY_MAXII;
end ALTERA_DEVICE_FAMILIES;
-- END OF PACKAGE
---START_PACKAGE_HEADER-----------------------------------------------------
--
-- Package Name : ALTERA_COMMON_CONVERSION
--
-- Description : Common conversion functions
--
---END_PACKAGE_HEADER--------------------------------------------------------
-- BEGINING OF PACKAGE
Library ieee;
use ieee.std_logic_1164.all;
use std.textio.all;
-- PACKAGE DECLARATION
package ALTERA_COMMON_CONVERSION is
-- FUNCTION DECLARATION
function INT_TO_STR_RAM (value : in integer) return string;
function INT_TO_STR_ARITH (value : in integer) return string;
function HEX_STR_TO_INT (str : in string) return integer;
procedure SHRINK_LINE (str_line : inout line; pos : in integer);
end ALTERA_COMMON_CONVERSION;
package body ALTERA_COMMON_CONVERSION is
?? 快捷鍵說明
復制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號
Ctrl + =
減小字號
Ctrl + -