亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關于我們
? 蟲蟲下載站

?? epp_interface.vhd

?? dsp下載器cpld程序
?? VHD
字號:
---HPI與EPP接口轉換模塊的設計
---本設計以EPP的時序為基礎,要求以host能夠通過HPI接口可訪問DSP的存儲空間
--chang time:2005.4.21
--chang part: entity:read


---HPI與EPP接口轉換模塊的設計
---本設計以EPP的時序為基礎,要求以host能夠通過HPI接口可訪問DSP的存儲空間
--程序名稱    :HPI_EPP
--編譯環境    :ISE5.2
--程序版本    :1.0
--主體設計者  : 吳慶洪
--程序編制調試:李思偉
--設計時間    :2005.4
-------------------------------------------------------------------------------
--entity:count16
--founction:產生16進制的進位脈沖,作為HPI接口控制信號。
--signal:nAstrb,nDstrb,byteflage,HHWIL,HCNTL0,HCNTL1;
--實體count16作為epp_interface的子器件。
--time:2005.4
--chang time:2005.4.1
--------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
entity count16 is
    port (
        nAstrb: in STD_LOGIC;					      	   --地址選通信號,作為計數器的清零信號
        nDstrb: in STD_LOGIC;					     	   --數據選通信號,作為計數器的計數脈沖
        Q0: out STD_LOGIC;
        Q1: out STD_LOGIC;
        Q2: out STD_LOGIC;
        Q3: out STD_LOGIC
    );
end count16;

architecture count16_arch of count16 is
   signal cnt :std_logic_vector(3 downto 0);
   signal hostdata:std_logic_vector(15 downto 0);
      begin 
      COUNT:process (nAstrb,nDstrb)
                 begin
                      if(nAstrb='0') then
                                         cnt<="0000";
                      elsif(nDstrb'event and nDstrb='0')  then
                        if(cnt="1011")then
                              cnt<="1000";
                        else
                               cnt<=cnt+'1';
                        end if;             
                        Q1<=cnt(1);
                        Q2<=cnt(2);
                        Q3<=cnt(3);
                        Q0<=cnt(0);--after 100ns;		--用于鎖存從host輸出的八位數據,延時使Q0的邊沿在數據有效時發生
                        end if;
         end process COUNT;                                                 
  -- <<enter your statements here>>
end count16_arch;

-------------------------------------------------------------------------------------------------------
--entity:latchl
--founction:HPI口寫DSP控制寄存器時,nWrite='0'時,用于鎖存低8位的PD信號,在Q0的上升沿送給HD(7 DOWNTO 0)。
--signal:PD(7downto 0),HD(7 downto 0),Q0,nWrite;
--實體latchl作為epp_interface的子器件。
--time:2005.4
-------------------------------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;

entity latchl is
    port (
        d: in STD_LOGIC_VECTOR (7 downto 0);
        q: out STD_LOGIC_VECTOR (7 downto 0);
        clk: in STD_LOGIC;
        oe: in STD_LOGIC
    );
end latchl;

architecture latchl_arch of latchl is
signal qint:std_logic_vector(7 downto 0);
begin
process(clk,d,oe)
begin
if(oe='0')then
if(clk'event and clk='1')then			    --clk的上升沿鎖存低八位數據
    qint(7 downto 0)<=d;
 end if;
 else
    qint<="ZZZZZZZZ";
 end if;
end process;
   q<=qint when (oe='0')				    --HPI寫時序,將數據送入HD0-HD7
     else "ZZZZZZZZ";    
  -- <<enter your statements here>>
end latchl_arch;
-------------------------------------------------------------------------------------------------------
--entity:latchh
--founction:HPI口寫DSP控制寄存器時,nWrite='0'時,用于鎖存低8位的PD信號,在Q0的下降沿送給HD(15 downto 8)。
--signal:PD(7downto 0),HD(15 downto 8),Q0,nWrite;
--實體latchh作為epp_interface的子器件。
--time:2005.4
-------------------------------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;

entity latchh is
    port (
        d: in STD_LOGIC_VECTOR (7 downto 0);
        q: out STD_LOGIC_VECTOR (7 downto 0);
        clk: in STD_LOGIC;
        oe: in STD_LOGIC
    );
end latchh;

architecture latchh_arch of latchh is
signal qint:std_logic_vector(7 downto 0);
begin
process(clk,d)
begin
if(oe='0')then
if(clk'event and clk='0')then				 --clk的下降沿鎖存高八位數據
    qint<=d;
 end if;
 else
     qint<="ZZZZZZZZ";
 end if;
end process;
q<=qint when (oe='0')					 --HPI寫時序,將數據送入HD8-HD15
else "ZZZZZZZZ";    
  -- <<enter your statements here>>
end latchh_arch;

-----------------------------------------------------------------------------------------------------
--entity:readbuffer
--founction:HPI口讀DSPMemory時,nWrite='1'時用于緩沖HD的信號,在Q0的上升沿送HD(7 DOWNTO 0)給PD,Q0的下降沿送HD(15 DOWNTO 8)給PD。
--signal:PD(7downto 0),HD(7 downto 0),Q0,nWrite;
--實體latchl作為epp_interface的子器件。
--time:2005.4
------------------------------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;

entity read is
    port (
        datain: in STD_LOGIC_VECTOR (15 downto 0);
        dataout: out STD_LOGIC_VECTOR (7 downto 0);
        oe: in STD_LOGIC;
        clk: in STD_LOGIC;
	   Q0: in std_logic
    );
end read;
	
architecture read_arch of read is
 signal bufferdata:std_logic_vector(15 downto 0);
 --signal flage:std_logic;
begin
  process(oe,clk,datain,Q0)
    begin
      if(oe='1'and Q0='1')then				 --讀允許且HPI讀時序時,HPI數據送入CPLD()
         if(clk'event and clk='1')then			 --CLK的上升沿鎖存HPI口數據
             bufferdata<=datain;
             --flage<=not flage;
          end if;
       --else
            -- bufferdata<=bufferdata;			 --否則緩存維持上次讀取的數據
            --flage<='Z';
       end if;	              
  end process;  
  	dataout<=bufferdata(7 downto 0) when(Q0='1'and oe='1')	   --第一個CLK的上升沿,讀取低八位
	  else   bufferdata(15 downto 8)when(Q0='0'and oe='1')    --第二個CLK的下降沿,讀取高八位
       else   "ZZZZZZZZ"; 
  -- <<enter your statements here>>
end read_arch;
----------------------------------------------------------------------------------------------------
----------------------------------------------------------------------------------------------------
---read and write control
--讀寫信號鎖存
--
---------------------------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;

entity rwcontrol is
    port (
        clk: in STD_LOGIC;
        nWrite: in STD_LOGIC;
        rwselect: out STD_LOGIC
    );
end rwcontrol;

architecture rwcontrol_arch of rwcontrol is
begin
  process(clk)
     begin 
         if(clk'event and clk='0')then
            rwselect<=nWrite;
         end if;
      end process;      
  -- <<enter your statements here>>
end rwcontrol_arch;
---------------------------------------------------------------------------------------------------
--entity: HPI_EPP
--founction:HPI與EPP接口轉換的主控函數。
--signal:PD(7downto 0),HD(7 downto 0),nWrite,nAstrb,nDstrb,byteflage,HHWIL,HCNTL0,HCNTL1,HCS;
--
--time:2005.4
--
--
--
--
-------------------------------------------------------------------------------------------------------

library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
entity HPI_EPP is
    port (
        nWrite: in STD_LOGIC;					     --EPP讀寫控制信號,‘0’--寫;‘1’--讀
        nAstrb: in STD_LOGIC;						--EPP地址選通信號,作為計數器的清零信號
        nDstrb: in STD_LOGIC;						--EPP的數據選通信號
        byteflage: out STD_LOGIC;					--數據讀寫高低字節的標志‘1’低,‘0’高
        HHWIL: out STD_LOGIC;						--HPI讀寫高低半字的控制信號,‘0’低,‘1’高
        HCNTL0: out STD_LOGIC;					--訪問HPI內部寄存器HPIC、HPIA、HPID的控制信號
        HCNTL1: out STD_LOGIC;					--以及訪問HPID的方式
        HPI_RW: out STD_LOGIC;					--HPI讀寫控制信號
        PD:inout STD_LOGIC_VECTOR(7 downto 0);		--EPP數據地址總線
        HD:inout STD_LOGIC_VECTOR(15 downto 0);		--HPI數據總線
	   datatest:out std_logic_vector(15 downto 0);
	   sclk:inout std_logic;
        HCS: out STD_LOGIC						--HPI選通信號,下降沿鎖存HPI的控制信號
    );
end HPI_EPP;

architecture HPI_EPP_arch of HPI_EPP is

component count16 is					          --元件聲明
    port (
        nAstrb: in STD_LOGIC;
        nDstrb: in STD_LOGIC;
        Q0: out STD_LOGIC;
        Q1: out STD_LOGIC;
        Q2: out STD_LOGIC;
        Q3: out STD_LOGIC
    );
end component;

component  latchl is
    port (
        d: in STD_LOGIC_VECTOR (7 downto 0);
        q: out STD_LOGIC_VECTOR (7 downto 0);
        clk: in STD_LOGIC;
        oe: in STD_LOGIC
    );
end  component;

component latchh is
    port (
        d: in STD_LOGIC_VECTOR (7 downto 0);
        q: out STD_LOGIC_VECTOR (7 downto 0);
        clk: in STD_LOGIC;
        oe: in STD_LOGIC
    );
end component;

component  read is 
    port (
        datain: in STD_LOGIC_VECTOR (15 downto 0);
        dataout: out STD_LOGIC_VECTOR (7 downto 0);
        oe:in std_logic;
        clk:in std_logic;
	   Q0:in std_logic
    );
  end component;  
  
  component rwcontrol is
    port (
        clk: in STD_LOGIC;
        nwrite:in std_logic;
       rwselect: out STD_LOGIC
           );
   end component ;
    
 signal  Q0,Q1,Q2,Q3:STD_LOGIC;
 signal  rwselect:std_logic;
 signal  portdata:std_logic_vector(7 downto 0);
-- signal  sclk:std_logic;
 begin																				 
     byteflage<=Q0;
     HPI_RW<=nWrite;
     HHWIL<=Q1;
     HCNTL0<=Q2;
     HCNTL1<=Q3;
     HCS<=(not Q0) or nDstrb;
     process(nDstrb)
	  begin
	   if(sclk'event and sclk='1')then
	      --sclk<=not sclk;
		 datatest<=HD;
	   end if;
 	end process;
	sclk<=nDstrb and Q0;-- after 100ns;
	
     readwrite:rwcontrol port map(nDstrb,nWrite,rwselect);
     CNT1:COUNT16  PORT MAP(nAstrb,nDstrb,Q0,Q1,Q2,Q3);
     latch1:latchh port map(PD,HD(15 downto 8),Q0,rwselect);
     latch0:latchl port map(PD,HD(7 downto 0),Q0,rwselect); 
--       B1:block (nWrite='1')
  --     begin
     bufferread:read port map(HD(15 downto 0),PD,nWrite,sclk,Q0); 
  --     end block B1;    
 end HPI_EPP_arch;

------------------------------------------------------------------------------------------
---程序結束!
---程序結束日期:2005.4.6
---程序調試完成日期:2005.4.13
---程序基本功能實現,能夠通過HPI實現對DSP存儲空間的訪問-讀寫。
---存在缺點:1、功能太簡單,一次僅僅能夠對單獨存儲空間的訪問。--上位機的工作,已解決!
---	        2、讀取數據時,上位機沒能指定讀取地址。且讀出的數據只能半位有效。
---	        3、要實現對連續地址的連續訪問需要改變控制信號:HCNTL0,HCNTL1 --問題已解決
---                          在訪問HPIC和HPIA后,HCNTL0和HCNTL1要固定在01上,使主機能夠
---                          實現對HPID的地址自增方式的訪問---問題已解決                
---    	   4、程序運行有待于繼續檢測,考察其穩定性
------------------------------------------------------------------------------------------

?? 快捷鍵說明

復制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
日本一二三不卡| 日韩欧美一二三| 精品一区二区综合| 亚洲成人在线网站| 自拍偷拍欧美激情| 久久久99精品久久| 欧美精品一区二区三| 宅男在线国产精品| 欧美日韩一区二区在线视频| fc2成人免费人成在线观看播放| 免费人成黄页网站在线一区二区| 亚洲最新视频在线观看| 中文字幕五月欧美| 国产精品乱码久久久久久| 久久精品人人做人人爽人人| 久久久久久亚洲综合影院红桃| 久久午夜老司机| 亚洲精品一区在线观看| 久久久99久久精品欧美| 欧美韩国日本综合| 国产精品成人免费精品自在线观看| 国产三区在线成人av| 亚洲欧美日韩国产综合| 一区二区三区成人| 日一区二区三区| 激情伊人五月天久久综合| 成人小视频免费在线观看| 不卡av在线网| 7777精品伊人久久久大香线蕉| 欧美一二三四区在线| 久久久精品免费观看| 亚洲欧洲成人av每日更新| 亚洲三级视频在线观看| 石原莉奈一区二区三区在线观看| 国产在线观看一区二区| 99久久精品国产毛片| 91精品一区二区三区久久久久久| www激情久久| 亚洲美女视频一区| 国产精品一区一区三区| 欧美在线免费播放| 国产欧美视频一区二区三区| 亚洲乱码国产乱码精品精的特点| 免费在线观看日韩欧美| 91国在线观看| 国产精品情趣视频| 天堂一区二区在线| 精品久久久久99| 亚洲第一精品在线| 日本精品裸体写真集在线观看| 精品国产乱码久久久久久蜜臀| 亚洲精品成人精品456| 国内成人自拍视频| 3d动漫精品啪啪| 亚洲精品国产无套在线观| 懂色av中文一区二区三区| 欧美一区日本一区韩国一区| 亚洲自拍都市欧美小说| 99免费精品视频| 国产欧美一区二区三区在线老狼| 麻豆传媒一区二区三区| 欧美精品在线视频| 五月天婷婷综合| 欧美一区二区三区小说| 青青草97国产精品免费观看无弹窗版 | 色噜噜狠狠成人网p站| 亚洲色图第一区| 欧美在线一二三| 日韩精品免费专区| 欧美成人高清电影在线| 黄色精品一二区| 国产日韩综合av| 99精品视频中文字幕| 亚洲黄一区二区三区| 在线成人小视频| 蜜桃精品视频在线| 国产色91在线| 欧美三级午夜理伦三级中视频| 亚洲午夜精品一区二区三区他趣| 欧美三级在线看| 国产精品一区二区在线看| 国产精品青草综合久久久久99| 91网站最新地址| 蜜桃av一区二区| 国产精品电影一区二区三区| 欧美日韩视频在线观看一区二区三区 | 免费成人性网站| 亚洲欧美另类久久久精品2019| 欧美三级日韩在线| 成人永久aaa| 日韩中文字幕91| 亚洲日本一区二区| 精品久久国产字幕高潮| 91精品国产高清一区二区三区 | 久久综合九色综合欧美98| 欧美日韩激情在线| 国产成人三级在线观看| 日韩电影在线观看电影| 国产精品电影一区二区| 精品国产成人在线影院| 欧美高清激情brazzers| 成人深夜在线观看| 美女爽到高潮91| 亚洲一区二区三区四区五区中文 | 亚洲第一精品在线| 亚洲色大成网站www久久九九| 精品日本一线二线三线不卡| 在线精品国精品国产尤物884a| 高清国产一区二区| 欧美日韩aaaaa| 欧美在线免费观看亚洲| 日本高清不卡aⅴ免费网站| 91在线播放网址| 91免费版pro下载短视频| 国产一区在线不卡| 国产在线精品一区在线观看麻豆| 秋霞影院一区二区| 美女一区二区久久| 国产精品自拍在线| 成人国产一区二区三区精品| 懂色av一区二区夜夜嗨| 不卡一区二区三区四区| 91老师片黄在线观看| 色婷婷av一区二区三区软件| 91成人免费在线| 欧美精品高清视频| 日韩精品一区二区三区四区视频 | 国产在线视视频有精品| 成人精品在线视频观看| 一本大道综合伊人精品热热| 欧美日韩免费观看一区二区三区| 欧美一区二区三区四区久久| 久久精品一区二区三区不卡牛牛| 136国产福利精品导航| 亚洲电影欧美电影有声小说| 黑人精品欧美一区二区蜜桃| 成人免费精品视频| 日韩欧美中文字幕一区| 国产精品久久久久久户外露出 | 久久久99精品免费观看| 成人免费在线视频| 日本视频中文字幕一区二区三区| 国产乱理伦片在线观看夜一区| 在线一区二区视频| 久久久久久亚洲综合影院红桃 | 久久先锋资源网| 日韩1区2区日韩1区2区| 99久久免费精品| 精品成人免费观看| 亚洲福中文字幕伊人影院| 国精产品一区一区三区mba桃花 | 国产亚洲精品久| 美女网站色91| 欧美精品亚洲一区二区在线播放| 中文字幕不卡三区| 精品亚洲成av人在线观看| 欧美日韩在线播放三区| 综合久久一区二区三区| 国产精品综合网| 久久久久国产精品厨房| 韩国一区二区在线观看| 欧美成人精品二区三区99精品| 日韩在线一二三区| 欧美亚洲综合久久| 一级日本不卡的影视| 91香蕉视频mp4| 国产精品久久久久久久久免费相片 | 久久精品人人爽人人爽| 韩国精品主播一区二区在线观看 | 欧美精品亚洲二区| 日韩中文字幕麻豆| 884aa四虎影成人精品一区| 日本午夜精品一区二区三区电影| 91精品国产乱| 国产夫妻精品视频| 中文字幕第一区第二区| 成人晚上爱看视频| 一区二区视频在线| 欧美在线免费观看亚洲| 天天影视涩香欲综合网| 2024国产精品| 成人手机在线视频| 亚洲香蕉伊在人在线观| 日韩一区二区三区免费看| 激情综合网av| 亚洲人成影院在线观看| 欧美精选在线播放| 国产精品一区二区三区四区 | 国产在线精品一区二区不卡了 | 久99久精品视频免费观看| 国产精品久久久久久久裸模| 色婷婷久久综合| 精品亚洲成a人在线观看| 亚洲精品久久久蜜桃| 日韩欧美在线影院| 色综合一区二区三区| 韩国一区二区视频| 亚洲成人激情自拍| 国产嫩草影院久久久久| 欧美日产在线观看|