?? lms_test.vhd
字號:
-----------------------------------------------------------------------------------------------------
-- TestBench of LMS Module.
-----------------------------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY LMS_test_tb IS
END LMS_test_tb;
-----------------------------------------------------------------------------------------------------
ARCHITECTURE behavior OF LMS_test_tb IS
COMPONENT LMS
PORT (
waveIN : OUT std_logic_vector(7 DOWNTO 0);
waveOut : OUT std_logic_vector(7 DOWNTO 0);
eOut : OUT std_logic_vector(7 DOWNTO 0);
start : IN std_logic;
CLK : IN std_logic
);
END COMPONENT;
SIGNAL CLK : std_logic;
SIGNAL start : std_logic;
SIGNAL waveIN : std_logic_vector(7 downto 0);
SIGNAL waveOut : std_logic_vector(7 downto 0);
SIGNAL eOut : std_logic_vector(7 downto 0);
BEGIN
U1: LMS
PORT MAP(
waveIN => waveIN,
waveOut => waveOut,
eOut => eOut,
start => start,
CLK => CLK
);
-----------------------------------------------------------------------------------------------------
-----------------------------------------------------------------------------------------------------
Initial : PROCESS
BEGIN
start <='0';
WAIT FOR 6.0 ns;
start <='1';
WAIT;
END PROCESS;
-----------------------------------------------------------------------------------------------------
GenerateCLK: PROCESS
BEGIN
WAIT FOR 6.0 ns; -- half period = 6.0 ns
IF( CLK = '1' ) then
CLK <= '0';
ELSE
CLK <= '1';
END IF;
END PROCESS;
-----------------------------------------------------------------------------------------------------
END behavior;
?? 快捷鍵說明
復(fù)制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號
Ctrl + =
減小字號
Ctrl + -