?? 二進(jìn)制到格雷碼轉(zhuǎn)換.txt
字號:
-- 描述 : 二進(jìn)制到格雷碼的轉(zhuǎn)換
-- Input (DATA_IN) width : 4
-- Enable (EN) active : high
--格雷碼的特點:任意2個相鄰的碼之間只有一個數(shù)不同,大大地減少了由一個狀態(tài)到下一個狀態(tài)時邏輯的混淆。
--格雷碼屬于可靠性編碼,是一種錯誤最小化的編碼方式
library IEEE;
use IEEE.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use work.all;
entity BIN2GRAY is
port (
DATA_IN : in std_logic_vector (3 downto 0); -- input data
EN : in std_logic; -- enable the gray trans &led scan
LEDOUT : out std_logic_vector (3 downto 0); -- 為方便仿真觀察
DATAOUT : out std_logic_vector (3 downto 0)
);
end entity;
architecture bin2gary_arch of BIN2GRAY is
signal DATA_OUT :std_logic_vector (3 downto 0);
begin
DATA_OUT(0) <= (DATA_IN(0) xor DATA_IN(1)) and EN; -- GRAY CODE TRANS.
DATA_OUT(1) <= (DATA_IN(1) xor DATA_IN(2)) and EN;
DATA_OUT(2) <= (DATA_IN(2) xor DATA_IN(3)) and EN;
DATA_OUT(3) <= DATA_IN(3) and EN;
LEDOUT<=DATA_OUT; --方便顯示輸出,LED靜態(tài)顯示和數(shù)碼管靜態(tài)顯示
DATAOUT<=DATA_OUT;
end architecture;
?? 快捷鍵說明
復(fù)制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號
Ctrl + =
減小字號
Ctrl + -