?? dffr_b.v
字號:
/************************************************************************* * Positive edge-triggered D Flip-Flop model using path delays, timing * checks, and the notifier - Verilog Training Course, Lab 3. ************************************************************************/`delay_mode_unit`celldefinemodule dffr_b(clr_,clk,q,q_,d); output q, q_; input clr_, clk, d; reg flag; nand n1 (de, dl, qe); nand n2 (qe, clk, de, clr_); nand n3 (dl, d, dl_, clr_); nand n4 (dl_, dl, clk, qe); nand n5 (q, qe, q_); nand n6 (q_, dl_, q, clr_); specify $setuphold(posedge clk, d, 3:5:6, 2:3:6, flag); (clr_ *> q, q_) = 3; (clk *> q) = (2:3:5, 4:5:6); (clk *> q_) = (2:4:5, 3:5:6); endspecifyendmodule`endcelldefine
?? 快捷鍵說明
復制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號
Ctrl + =
減小字號
Ctrl + -