?? rs_enc_m1.vhd
字號:
------------------------------------------------------------------------------------ Company: -- Engineer: -- -- Create Date: 16:24:03 10/22/2007 -- Design Name: -- Module Name: rs_enc_m1 - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: ---- Dependencies: ---- Revision: -- Revision 0.01 - File Created-- Additional Comments: ------------------------------------------------------------------------------------library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;---- Uncomment the following library declaration if instantiating---- any Xilinx primitives in this code.--library UNISIM;--use UNISIM.VComponents.all;entity rs_enc_m1 is Port ( x : in STD_LOGIC_VECTOR (7 downto 0); y : out STD_LOGIC_VECTOR (7 downto 0));end rs_enc_m1;architecture Behavioral of rs_enc_m1 is--signal z : STD_LOGIC_VECTOR (7 downto 0);begin process(x) begin y(0) <= x(1) XOR x(2) XOR x(4) XOR x(5); y(1) <= x(0) XOR x(1) XOR x(3) XOR x(4) XOR x(6); y(2) <= x(0) XOR x(7); y(3) <= x(1); y(4) <= x(0) XOR x(2); y(5) <= x(0) XOR x(1) XOR x(3); y(6) <= x(1) XOR x(2) XOR x(4); y(7) <= x(0) XOR x(1) XOR x(3) XOR x(4); --y<=z; end process;end Behavioral;
?? 快捷鍵說明
復制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號
Ctrl + =
減小字號
Ctrl + -