亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關于我們
? 蟲蟲下載站

?? cf_fft_1024_8.vhd

?? VHDL 的FFT 1024點源碼。既有VHDL 的
?? VHD
?? 第 1 頁 / 共 5 頁
字號:
clock_c : in std_logic;i1 : in  unsigned(15 downto 0);i2 : in  unsigned(15 downto 0);i3 : in  unsigned(0 downto 0);i4 : in  unsigned(0 downto 0);i5 : in  unsigned(0 downto 0);o1 : out unsigned(15 downto 0);o2 : out unsigned(15 downto 0));end component cf_fft_1024_8_39;component cf_fft_1024_8_33 isport (clock_c : in std_logic;i1 : in  unsigned(1 downto 0);i2 : in  unsigned(0 downto 0);i3 : in  unsigned(0 downto 0);o1 : out unsigned(0 downto 0));end component cf_fft_1024_8_33;component cf_fft_1024_8_29 isport (clock_c : in std_logic;i1 : in  unsigned(31 downto 0);i2 : in  unsigned(0 downto 0);i3 : in  unsigned(7 downto 0);i4 : in  unsigned(0 downto 0);i5 : in  unsigned(0 downto 0);i6 : in  unsigned(0 downto 0);o1 : out unsigned(31 downto 0));end component cf_fft_1024_8_29;component cf_fft_1024_8_28 isport (clock_c : in std_logic;i1 : in  unsigned(31 downto 0);i2 : in  unsigned(0 downto 0);i3 : in  unsigned(7 downto 0);i4 : in  unsigned(0 downto 0);i5 : in  unsigned(0 downto 0);i6 : in  unsigned(0 downto 0);o1 : out unsigned(0 downto 0);o2 : out unsigned(0 downto 0);o3 : out unsigned(31 downto 0));end component cf_fft_1024_8_28;component cf_fft_1024_8_24 isport (clock_c : in std_logic;i1 : in  unsigned(0 downto 0);i2 : in  unsigned(0 downto 0);i3 : in  unsigned(0 downto 0);o1 : out unsigned(8 downto 0);o2 : out unsigned(0 downto 0));end component cf_fft_1024_8_24;beginn1 <= s29_1(8 downto 8);n2 <= s25_1 & s25_2;process (clock_c) begin  if rising_edge(clock_c) then    if i5 = "1" then      n3 <= "0";    elsif i4 = "1" then      n3 <= s29_2;    end if;  end if;end process;process (clock_c) begin  if rising_edge(clock_c) then    if i5 = "1" then      n4 <= "0";    elsif i4 = "1" then      n4 <= n3;    end if;  end if;end process;process (clock_c) begin  if rising_edge(clock_c) then    if i5 = "1" then      n5 <= "0";    elsif i4 = "1" then      n5 <= n4;    end if;  end if;end process;process (clock_c) begin  if rising_edge(clock_c) then    if i5 = "1" then      n6 <= "0";    elsif i4 = "1" then      n6 <= n5;    end if;  end if;end process;n7 <= s29_1(8 downto 8) &  s29_1(7 downto 7) &  s29_1(6 downto 6) &  s29_1(5 downto 5) &  s29_1(4 downto 4) &  s29_1(3 downto 3) &  s29_1(2 downto 2) &  s29_1(1 downto 1);process (clock_c) begin  if rising_edge(clock_c) then    if i5 = "1" then      n8 <= "00000000";    elsif i4 = "1" then      n8 <= n7;    end if;  end if;end process;process (clock_c) begin  if rising_edge(clock_c) then    if i5 = "1" then      n9 <= "00000000";    elsif i4 = "1" then      n9 <= n8;    end if;  end if;end process;process (clock_c) begin  if rising_edge(clock_c) then    if i5 = "1" then      n10 <= "00000000";    elsif i4 = "1" then      n10 <= n9;    end if;  end if;end process;process (clock_c) begin  if rising_edge(clock_c) then    if i5 = "1" then      n11 <= "00000000";    elsif i4 = "1" then      n11 <= n10;    end if;  end if;end process;n12 <= s29_1(0 downto 0);process (clock_c) begin  if rising_edge(clock_c) then    if i5 = "1" then      n13 <= "0";    elsif i4 = "1" then      n13 <= n12;    end if;  end if;end process;process (clock_c) begin  if rising_edge(clock_c) then    if i5 = "1" then      n14 <= "0";    elsif i4 = "1" then      n14 <= n13;    end if;  end if;end process;process (clock_c) begin  if rising_edge(clock_c) then    if i5 = "1" then      n15 <= "0";    elsif i4 = "1" then      n15 <= n14;    end if;  end if;end process;process (clock_c) begin  if rising_edge(clock_c) then    if i5 = "1" then      n16 <= "0";    elsif i4 = "1" then      n16 <= n15;    end if;  end if;end process;n17 <= not n16;n18 <= s28_2 & s28_1;n19 <= s28_3(31 downto 31) &  s28_3(30 downto 30) &  s28_3(29 downto 29) &  s28_3(28 downto 28) &  s28_3(27 downto 27) &  s28_3(26 downto 26) &  s28_3(25 downto 25) &  s28_3(24 downto 24) &  s28_3(23 downto 23) &  s28_3(22 downto 22) &  s28_3(21 downto 21) &  s28_3(20 downto 20) &  s28_3(19 downto 19) &  s28_3(18 downto 18) &  s28_3(17 downto 17) &  s28_3(16 downto 16);n20 <= s28_3(15 downto 15) &  s28_3(14 downto 14) &  s28_3(13 downto 13) &  s28_3(12 downto 12) &  s28_3(11 downto 11) &  s28_3(10 downto 10) &  s28_3(9 downto 9) &  s28_3(8 downto 8) &  s28_3(7 downto 7) &  s28_3(6 downto 6) &  s28_3(5 downto 5) &  s28_3(4 downto 4) &  s28_3(3 downto 3) &  s28_3(2 downto 2) &  s28_3(1 downto 1) &  s28_3(0 downto 0);n21 <= s27_1(31 downto 31) &  s27_1(30 downto 30) &  s27_1(29 downto 29) &  s27_1(28 downto 28) &  s27_1(27 downto 27) &  s27_1(26 downto 26) &  s27_1(25 downto 25) &  s27_1(24 downto 24) &  s27_1(23 downto 23) &  s27_1(22 downto 22) &  s27_1(21 downto 21) &  s27_1(20 downto 20) &  s27_1(19 downto 19) &  s27_1(18 downto 18) &  s27_1(17 downto 17) &  s27_1(16 downto 16);n22 <= s27_1(15 downto 15) &  s27_1(14 downto 14) &  s27_1(13 downto 13) &  s27_1(12 downto 12) &  s27_1(11 downto 11) &  s27_1(10 downto 10) &  s27_1(9 downto 9) &  s27_1(8 downto 8) &  s27_1(7 downto 7) &  s27_1(6 downto 6) &  s27_1(5 downto 5) &  s27_1(4 downto 4) &  s27_1(3 downto 3) &  s27_1(2 downto 2) &  s27_1(1 downto 1) &  s27_1(0 downto 0);n23 <= n20 when s26_1 = "1" else n19;n24 <= n22 when s26_1 = "1" else n21;s25 : cf_fft_1024_8_39 port map (clock_c, i2, i3, n1, i4, i5, s25_1, s25_2);s26 : cf_fft_1024_8_33 port map (clock_c, n18, i4, i5, s26_1);s27 : cf_fft_1024_8_29 port map (clock_c, n2, n6, n11, n16, i4, i5, s27_1);s28 : cf_fft_1024_8_28 port map (clock_c, n2, n6, n11, n17, i4, i5, s28_1, s28_2, s28_3);s29 : cf_fft_1024_8_24 port map (clock_c, i1, i4, i5, s29_1, s29_2);o3 <= n24;o2 <= n23;o1 <= s28_1;end architecture rtl;library ieee;use ieee.std_logic_1164.all;use ieee.numeric_std.all;entity cf_fft_1024_8_22 isport (clock_c : in std_logic;i1 : in  unsigned(15 downto 0);i2 : in  unsigned(15 downto 0);i3 : in  unsigned(8 downto 0);i4 : in  unsigned(0 downto 0);i5 : in  unsigned(0 downto 0);o1 : out unsigned(15 downto 0);o2 : out unsigned(15 downto 0));end entity cf_fft_1024_8_22;architecture rtl of cf_fft_1024_8_22 issignal n1 : unsigned(15 downto 0) := "0000000000000000";signal n2 : unsigned(7 downto 0);signal n3 : unsigned(7 downto 0);signal n4 : unsigned(15 downto 0) := "0000000000000000";signal n5 : unsigned(7 downto 0);signal n6 : unsigned(7 downto 0);signal n7 : unsigned(7 downto 0) := "00000000";signal n8 : unsigned(7 downto 0) := "00000000";signal n9 : unsigned(7 downto 0) := "00000000";signal n10 : unsigned(7 downto 0) := "00000000";signal n11 : unsigned(15 downto 0) := "0000000000000000";signal n12 : unsigned(7 downto 0);signal n13 : unsigned(7 downto 0);signal n14 : unsigned(15 downto 0);signal n15 : unsigned(7 downto 0);signal n16 : unsigned(7 downto 0) := "00000000";signal n17 : unsigned(15 downto 0);signal n18 : unsigned(7 downto 0);signal n19 : unsigned(7 downto 0) := "00000000";signal n20 : unsigned(7 downto 0);signal n21 : unsigned(7 downto 0) := "00000000";signal n22 : unsigned(15 downto 0);signal n23 : unsigned(7 downto 0);signal n24 : unsigned(7 downto 0) := "00000000";signal n25 : unsigned(15 downto 0);signal n26 : unsigned(7 downto 0);signal n27 : unsigned(7 downto 0) := "00000000";signal n28 : unsigned(7 downto 0);signal n29 : unsigned(7 downto 0) := "00000000";signal n30 : unsigned(7 downto 0);signal n31 : unsigned(7 downto 0);signal n32 : unsigned(15 downto 0);signal n33 : unsigned(15 downto 0) := "0000000000000000";signal n34 : unsigned(7 downto 0);signal n35 : unsigned(7 downto 0);signal n36 : unsigned(15 downto 0);signal n37 : unsigned(15 downto 0) := "0000000000000000";beginprocess (clock_c) begin  if rising_edge(clock_c) then    if i5 = "1" then      n1 <= "0000000000000000";    elsif i4 = "1" then      n1 <= i1;    end if;  end if;end process;n2 <= n1(15 downto 15) &  n1(14 downto 14) &  n1(13 downto 13) &  n1(12 downto 12) &  n1(11 downto 11) &  n1(10 downto 10) &  n1(9 downto 9) &  n1(8 downto 8);n3 <= n1(7 downto 7) &  n1(6 downto 6) &  n1(5 downto 5) &  n1(4 downto 4) &  n1(3 downto 3) &  n1(2 downto 2) &  n1(1 downto 1) &  n1(0 downto 0);process (clock_c) begin  if rising_edge(clock_c) then    if i5 = "1" then      n4 <= "0000000000000000";    elsif i4 = "1" then      n4 <= i2;    end if;  end if;end process;n5 <= n4(15 downto 15) &  n4(14 downto 14) &  n4(13 downto 13) &  n4(12 downto 12) &  n4(11 downto 11) &  n4(10 downto 10) &  n4(9 downto 9) &  n4(8 downto 8);n6 <= n4(7 downto 7) &  n4(6 downto 6) &  n4(5 downto 5) &  n4(4 downto 4) &  n4(3 downto 3) &  n4(2 downto 2) &  n4(1 downto 1) &  n4(0 downto 0);process (clock_c) begin  if rising_edge(clock_c) then    if i5 = "1" then      n7 <= "00000000";    elsif i4 = "1" then      n7 <= n2;    end if;  end if;end process;process (clock_c) begin  if rising_edge(clock_c) then    if i5 = "1" then      n8 <= "00000000";    elsif i4 = "1" then      n8 <= n7;    end if;  end if;end process;process (clock_c) begin  if rising_edge(clock_c) then    if i5 = "1" then      n9 <= "00000000";    elsif i4 = "1" then      n9 <= n3;    end if;  end if;end process;process (clock_c) begin  if rising_edge(clock_c) then    if i5 = "1" then      n10 <= "00000000";    elsif i4 = "1" then      n10 <= n9;    end if;  end if;end process;process (clock_c) begin  if rising_edge(clock_c) then     if i4 = "1" then      case i3 is        when "000000000" => n11 <= "0111111100000000";        when "000000001" => n11 <= "0111111111111111";        when "000000010" => n11 <= "0111111111111110";        when "000000011" => n11 <= "0111111111111101";        when "000000100" => n11 <= "0111111111111100";        when "000000101" => n11 <= "0111111111111100";        when "000000110" => n11 <= "0111111111111011";        when "000000111" => n11 <= "0111111111111010";        when "000001000" => n11 <= "0111111111111001";        when "000001001" => n11 <= "0111111111111000";        when "000001010" => n11 <= "0111111111111000";        when "000001011" => n11 <= "0111111111110111";        when "000001100" => n11 <= "0111111111110110";        when "000001101" => n11 <= "0111111111110101";        when "000001110" => n11 <= "0111111111110101";

?? 快捷鍵說明

復制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
日日夜夜一区二区| 极品少妇xxxx精品少妇偷拍 | 香蕉乱码成人久久天堂爱免费| 免费观看在线色综合| 成人激情动漫在线观看| 欧美一区中文字幕| 亚洲日本丝袜连裤袜办公室| 国产自产v一区二区三区c| 日本韩国精品在线| 久久老女人爱爱| 婷婷亚洲久悠悠色悠在线播放 | 色综合色狠狠天天综合色| 日韩欧美中文一区二区| 亚洲美女淫视频| 国产专区综合网| 91精品久久久久久蜜臀| 亚洲女与黑人做爰| 99国产精品一区| 精品国产污污免费网站入口| 午夜精品久久久久久久久| 91老师片黄在线观看| 国产蜜臀97一区二区三区| 国产在线精品一区二区三区不卡| 精品视频一区三区九区| 亚洲同性gay激情无套| 大尺度一区二区| 久久午夜免费电影| 韩国一区二区在线观看| 欧美精选午夜久久久乱码6080| 亚洲蜜桃精久久久久久久| www.亚洲色图| 国产精品成人免费 | 丝袜亚洲精品中文字幕一区| 在线免费视频一区二区| 亚洲精品国产无套在线观| jvid福利写真一区二区三区| 国产精品理论在线观看| 国产成人综合自拍| 久久女同精品一区二区| 国产99久久久国产精品| 欧美国产禁国产网站cc| 99久久99久久精品免费看蜜桃| 自拍偷拍亚洲综合| 色视频欧美一区二区三区| 一区二区日韩av| 欧美电影影音先锋| 久久 天天综合| 亚洲高清不卡在线| 国产一区二区三区四| 久久先锋资源网| 成人av电影在线| 亚洲精品欧美二区三区中文字幕| 欧美中文字幕一区二区三区 | 中文字幕一区二区三区色视频 | 国产99一区视频免费| **网站欧美大片在线观看| 在线一区二区三区做爰视频网站| 亚洲成人在线免费| 亚洲精品一区二区三区香蕉| 成人av在线网站| 午夜激情综合网| 精品久久久久久久久久久院品网| 福利电影一区二区| 亚洲成人手机在线| 久久免费美女视频| 欧美亚洲综合色| 蜜桃精品在线观看| 亚洲欧洲色图综合| 制服丝袜成人动漫| 成人动漫中文字幕| 视频一区二区三区中文字幕| 久久综合狠狠综合久久综合88| 91片黄在线观看| 男女男精品视频网| 中文字幕日韩一区| 日韩小视频在线观看专区| 99热精品国产| 久久99久久99| 亚洲一区二区三区自拍| 久久久久88色偷偷免费| 欧美三级一区二区| 成人av网在线| 久久av老司机精品网站导航| 亚洲激情综合网| 久久久久久久一区| 91精品国产综合久久香蕉的特点| 成人免费看片app下载| 另类小说图片综合网| 亚洲婷婷在线视频| 国产校园另类小说区| 69精品人人人人| av网站一区二区三区| 国产一区在线观看视频| 午夜视频在线观看一区二区| 国产精品乱码一区二区三区软件 | 国产日韩综合av| 在线电影国产精品| 日本韩国一区二区三区| 波多野结衣在线一区| 精品一区二区三区视频在线观看| 亚洲高清免费在线| 怡红院av一区二区三区| 中文字幕人成不卡一区| 精品国内片67194| 欧美一区二区三区日韩| 欧美日韩国产欧美日美国产精品| 色噜噜狠狠色综合欧洲selulu| 成人av网站大全| 成人网男人的天堂| 成人高清av在线| 不卡免费追剧大全电视剧网站| 国产乱子轮精品视频| 国产乱子伦视频一区二区三区| 看电视剧不卡顿的网站| 久久精品国内一区二区三区| 日韩电影免费在线看| 日韩精品久久理论片| 日产国产欧美视频一区精品| 亚洲444eee在线观看| 亚洲成人综合网站| 亚洲成国产人片在线观看| 亚洲高清免费在线| 成人一区二区三区在线观看| 麻豆免费看一区二区三区| 麻豆精品一区二区三区| 精品伊人久久久久7777人| 韩国三级在线一区| 国产激情一区二区三区四区| 从欧美一区二区三区| 成人aa视频在线观看| 色诱视频网站一区| 欧美日韩国产在线播放网站| 欧美日韩一区二区在线观看| 欧美精品18+| 日韩精品一区国产麻豆| 久久久国产精品午夜一区ai换脸 | 9191精品国产综合久久久久久| 宅男噜噜噜66一区二区66| 欧美成人性福生活免费看| 国产片一区二区三区| 亚洲精品免费在线| 丝袜国产日韩另类美女| 国产精品综合网| 91麻豆免费观看| 欧美一区二区三区在线电影| 久久九九99视频| 亚洲国产成人精品视频| 国产在线一区二区| 在线观看视频一区二区| 欧美mv日韩mv| 成人欧美一区二区三区| 免费在线观看不卡| 99国产精品国产精品毛片| 欧美日韩国产小视频在线观看| 久久精品一二三| 一区二区三区精品在线| 久久精品国产精品亚洲综合| eeuss鲁一区二区三区| 7777精品伊人久久久大香线蕉| 久久精品亚洲精品国产欧美kt∨| 亚洲精品国产a| 国产麻豆午夜三级精品| 欧美主播一区二区三区美女| 2023国产精品视频| 丝袜美腿亚洲一区| 7777女厕盗摄久久久| 久久精品网站免费观看| 五月开心婷婷久久| 国产成人av资源| 欧美一级精品在线| 亚洲精品成人悠悠色影视| 国产一区二区h| 在线成人免费观看| 一区二区三区四区在线免费观看| 国产很黄免费观看久久| 欧美高清你懂得| 最好看的中文字幕久久| 国产麻豆精品视频| 欧美一区二区三区播放老司机| 亚洲蜜臀av乱码久久精品| 成人性生交大片免费看中文 | 亚洲成人一区二区在线观看| a级精品国产片在线观看| 久久久久久久免费视频了| 久久精品国产色蜜蜜麻豆| 91精品麻豆日日躁夜夜躁| 亚洲午夜久久久久| 欧美在线制服丝袜| 亚洲美女屁股眼交3| 91在线播放网址| 中文字幕视频一区| caoporn国产精品| 国产精品欧美精品| 成人精品一区二区三区中文字幕| 精品粉嫩超白一线天av| 极品美女销魂一区二区三区| 精品sm捆绑视频| 国产揄拍国内精品对白| 久久精品无码一区二区三区| 韩国精品在线观看|