亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關于我們
? 蟲蟲下載站

?? fsk調制.txt

?? 這是我們本學期的試驗作業,FSK源碼很多了,我這個加了很多詳細注解.不妨參考一下.
?? TXT
字號:
library IEEE;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;

entity fsk is
port(clock: in std_logic; 
     a:in std_logic_vector(2 downto 0);     ----輸入控制信號,3位用來選擇M序列長度
     b:in std_logic_vector(1 downto 0);      ----輸入控制信號,2位用來選擇M序列反饋系數
     load:in std_logic; ----m序列控制信號
     d:out std_logic_vector (7 downto 0); 
     load_clock:buffer std_logic; 
      load_clock1:buffer std_logic; 
     code,coderate: buffer std_logic;
     jump_high:buffer std_logic;   
     jump_low:buffer std_logic);  
end fsk;

architecture ab of fsk is
 signal  q1: std_logic;
signal c0,c1,c2,c3,c4,c5,c6,c7:std_logic;                                                   ---所以采用雙相碼分別表示-1,1,0

signal count64:std_logic_vector (7 downto 0);
signal count32: std_logic_vector (4 downto 0);
signal count: std_logic_vector (2 downto 0);
signal count1: std_logic_vector (1 downto 0);
signal count20:std_logic_vector (3 downto 0);
signal sinclk: std_logic;
--signal sinclk1: std_logic;
signal temp:std_logic;
begin
process(clock) --100khz分頻10得到load_clock為10khz
begin
  if(clock'event and clock='1') then
 if(count="100") then --記錄10個周期
    count<="000";
   load_clock<=not load_clock;
   else count<=count+'1';
if(count20="1001") then --記錄20個周期
    count20<="0000";
   load_clock1<=not load_clock1;
   else count20<=count20+'1';
end if;
  end if;
  end if;
end process;

--process(load_clock1)
--begin
 --if(load_clock1'event and load_clock1='1') then
--if(count1="11") then --4
  --  count1<="00";
   -- load_clock1<=not load_clock1;
  -- else count1<=count1+'1';
  -- end if;
 -- end if;
--end process;


process(load_clock1)  --load_clock分頻64得到0.5KHz碼元速率
begin
 if(load_clock1'event and load_clock1='1') then
   if(count32="11111") then --記錄32個周期
    count32<="00000";
    coderate<=not coderate;
   else count32<=count32+'1';
   end if;
  end if;
end process;

process( coderate) ---m序列進程
begin
if  coderate'event and  coderate='1' then   -----二分頻時鐘信號上升沿
case a is
when "000"  =>  ---a為000,010,001分別表示M序列級數為0,1,2此時設置為復位
q1<='0';
when "001"  =>  
q1<='0';
when "010" =>     ---a為010代表M序列級數為2時此時序列長度為3,反饋系數為7=111 
if load='1' or ((  c1 or c0)='0') then
             -----load 優先控制 避免全零

c1<='1';
c0<='1';
q1<=c1;
else

c0<=c1 xor c0  ;  ------設置反饋方式
c1<=c0;
q1<=c1;
end if;
when "011" =>     ---a為011代表M序列級數為3時此時序列長度為7,反饋系數為13=1011 
if load='1' or ((  c2 or c1 or c0)='0')   then
             -----load 優先控制 避免全零
c2<='1';
c1<='1';
c0<='1';
q1<=c2;

else

c0<=c1 xor c2 ;  ------設置反饋方式
c2<=c1;
c1<=c0;
q1<=c2;
end if;
when "100" =>       ---a為100代表M序列級數為4時此時序列長度為15,反饋系數為23=10011  
if load='1' or (( c3 or c2 or c1 or c0)='0') then
             -----load 優先控制 避免全零

c3<='0';
c2<='0';
c1<='0';
c0<='1';
q1<=c4;
else
c0<=c2 xor c3 ;  ------設置反饋方式
c3<=c2;
c2<=c1;
c1<=c0;
q1<=c3;
end if;
when "101"=>     ---a為101代表M序列級數為5時此時序列長度為31,反饋系數為45,67,75  
case b is
when  "00" =>   -----b為00時,則設定此時無反饋系數
q1<='0';
when  "01" =>   -----b為01時,則設定此反饋系數為45=100101

if load='1' or (( c4 or c3 or c2 or c1 or c0)='0') then
             -----load 優先控制 避免全零
c4<='0';
c3<='0';
c2<='0';
c1<='1';
c0<='1';
q1<=c4;
else
c0<=c4  xor c2;  ------設置反饋方式
c4<=c3;
c3<=c2;
c2<=c1;
c1<=c0;
q1<=c4;
end if;
when "10" =>   -----b為10時,則設定此反饋系數為67=110111
if load='1' or (( c4 or c3 or c2 or c1 or c0)='0') then
             -----load 優先控制 避免全零
c4<='0';
c3<='0';
c2<='0';
c1<='1';
c0<='1';
q1<=c4;
else
c0<=c4 xor c3 xor c2 xor c0 ;------設置反饋方式
c4<=c3;
c3<=c2;
c2<=c1;
c1<=c0;
q1<=c4;
end if;
when "11" =>   -----b為11時,則設定此反饋系數為75=111101
if load='1' or (( c4 or c3 or c2 or c1 or c0)='0') then
             -----load 優先控制 避免全零
c4<='0';
c3<='0';
c2<='0';
c1<='1';
c0<='1';
q1<=c4;
else
c0<=c0 xor c1 xor c2 xor c4 ;  ------設置反饋方式
c4<=c3;
c3<=c2;
c2<=c1;
c1<=c0;
q1<=c4;
end if;
when others =>
q1<='0';
end case;

when "110"=>     ---a為110代表M序列級數為6時此時序列長度為63,反饋系數為103,147,155
case  b  is
when  "00" =>   -----b為00時,則設定此時無反饋系數
q1<='0';

when "01" =>      ----b為00或01時,則設定此反饋系數為103=1000011

if load='1' or ((  c5 or c4 or c3 or c2 or c1 or c0)='0') then
             -----load 優先控制 避免全零

c5<='0';
c4<='0';
c3<='0';
c2<='0';
c1<='1';
c0<='1';
q1<=c5;
else
c0<=c5  xor c4 ;  ------設置反饋方式
c5<=c4;
c4<=c3;
c3<=c2;
c2<=c1;
c1<=c0;
q1<=c5;
end if;
when "10" =>   -----b為10時,則設定此反饋系數為147=1100111
if load='1' or (( c5 or c4 or c3 or c2 or c1 or c0)='0') then
             -----load 優先控制 避免全零

c5<='0';
c4<='0';
c3<='0';
c2<='0';
c1<='1';
c0<='1';
q1<=c5;
else
c0<=c5 xor c4 xor c1 xor c0 ;  ------設置反饋方式
c5<=c4;
c4<=c3;
c3<=c2;
c2<=c1;
c1<=c0;
q1<=c5;
end if;
when "11" =>   -----b為11時,則設定此反饋系數為155=1101101
if load='1' or (( c5 or c4 or c3 or c2 or c1 or c0)='0') then
             -----load 優先控制 避免全零

c5<='0';
c4<='0';
c3<='0';
c2<='0';
c1<='1';
c0<='1';
q1<=c5;
else
c0<=c5 xor c4 xor c2 xor c1 ;  ------設置反饋方式
c5<=c4;
c4<=c3;
c3<=c2;
c2<=c1;
c1<=c0;
q1<=c5;
end if;
when others =>
q1<='0';
end case;
when "111"=>     ---a為111代表M序列級數為7時此時序列長度為127,反饋系數為203,211,217,235,277,313,325,345,367
                 ----此處選擇反饋系數203,211,217,235為例
case b is
when "00" =>   -----b為00時,則設定此反饋系數為203=10000011
if load='1' or ((c6 or c5 or c4 or c3 or c2 or c1 or c0)='0') then
             -----load 優先控制 避免全零

c6<='0';
c5<='0';
c4<='0';
c3<='0';
c2<='0';
c1<='1';
c0<='1';
q1<=c6;
else
c0<=c6 xor c0 ;  ------設置反饋方式
c6<=c5;
c5<=c4;
c4<=c3;
c3<=c2;
c2<=c1;
c1<=c0;
q1<=c6;
end if;
when "01" =>   -----b為01時,則設定此反饋系數為211=10001001
if load='1' or (( c6 or c5 or c4 or c3 or c2 or c1 or c0)='0') then
             -----load 優先控制 避免全零
c6<='0';
c5<='0';
c4<='0';
c3<='0';
c2<='0';
c1<='1';
c0<='1';
q1<=c6;
else
c0<=c6 xor  c2 ;  ------設置反饋方式
c6<=c5;
c5<=c4;
c4<=c3;
c3<=c2;
c2<=c1;
c1<=c0;
q1<=c6;
end if;
when "10" =>   -----b為10時,則設定此反饋系數為217=10001111
if load='1' or ((c6 or c5 or c4 or c3 or c2 or c1 or c0)='0') then
             -----load 優先控制 避免全零
c6<='0';
c5<='0';
c4<='0';
c3<='0';
c2<='0';
c1<='1';
c0<='1';
q1<=c6;
else
c0<=c6 xor c2 xor c1 xor c0 ;  ------設置反饋方式
c6<=c5;
c5<=c4;
c4<=c3;
c3<=c2;
c2<=c1;
c1<=c0;
q1<=c6;
end if;
when "11" =>   -----b為10時,則設定此反饋系數為235=10011101
if load='1' or (( c6 or c5 or c4 or c3 or c2 or c1 or c0)='0') then
             -----load 優先控制 避免全零
c6<='0';
c5<='0';
c4<='0';
c3<='0';
c2<='0';
c1<='1';
c0<='1';
q1<=c6;
else

c0<=c6 xor c3 xor c2 xor c1;  ------設置反饋方式

c6<=c5;
c5<=c4;
c4<=c3;
c3<=c2;
c2<=c1;
c1<=c0;
q1<=c6;
end if;
when others=>
q1<='0';
end case;
when others=>
q1<='0';
end case;
end if;
end  process;
code<=q1;
sinclk<=load_clock; 
--sinclk1<=load_clock1;   
jump_high<=(not temp) and code; --0到1跳變
jump_low<=(not code) and temp;  --1到0跳變

 
process(sinclk)
begin
 if(sinclk'event and sinclk='1') then
         temp<=code;     
   if((jump_high='1')or(count64="00111111")or (jump_low='1')) 
               then count64<="00000000"; 
        elsif(temp='0')then 
             if(count1="01")then
                  count1<="00";
          count64<=count64+'1';
                else count1<=count1+'1';
          --end if;

            if (temp='1')then 
               count64<=count64+'1';   
  end if;         
 end if;
   end if;          
 end if;           
         
--end if;
  -- end if;
    
--if(sinclk1'event and sinclk1='1') then
   --if((jump_low='1')or(count64="00111111")) 
              -- then count64<="00000000"; 
        --elsif(temp='1') then 
          --count64<=count64+'1';   
  -- end if;      
   --end if;
end process;


process(count64)
begin
 case count64 is
when "00000000"=> d<="00000000"  ;when "00000001"=> d<="00000001"  ;
when "00000010"=> d<="00000100"  ;when "00000011"=> d<="00001000"  ;
when "00000100"=> d<="00001101"  ;when "00000101"=> d<="00010011"  ;
when "00000110"=> d<="00011010"  ;when "00000111"=> d<="00100010"  ;
when "00001000"=> d<="00101011"  ;when "00001001"=> d<="00110101"  ;
when "00001010"=> d<="01000000"  ;when "00001011"=> d<="01001011"  ;
when "00001100"=> d<="01010111"  ; when "00001101"=> d<="01100011"  ;
when "00001110"=> d<="01110000"  ;when "00001111"=> d<="01111100"  ;
when "00010000"=> d<="10001001"  ; when "00010001"=> d<="10010110"  ;
when "00010010"=> d<="10100010"  ;when "00010011"=> d<="10101110"  ;
when "00010100"=> d<="10111010"  ; when "00010101"=> d<="11000101"  ;
when "00010110"=> d<="11001111"  ;when "00010111"=> d<="11011001"  ;
when "00011000"=> d<="11100001"  ; when "00011001"=> d<="11101001"  ;
when "00011010"=> d<="11101111"  ;when "00011011"=> d<="11110101"  ;
when "00011100"=> d<="11111001"  ; when "00011101"=> d<="11111100"  ;
when "00011110"=> d<="11111110"  ;when "00011111"=> d<="11111111"  ;

when "00100000"=> d<="11111111"  ; when "00100001"=> d<="11111110"  ;
when "00100010"=> d<="11111100"  ;when "00100011"=> d<="11111001"  ;
when "00100100"=> d<="11110101"  ; when "00100101"=> d<="11101111"  ;
when "00100110"=> d<="11101001"  ;when "00100111"=> d<="11100001"  ;
when "00101000"=> d<="11011001"  ; when "00101001"=> d<="11001111"  ;
when "00101010"=> d<="11000101"  ;when "00101011"=> d<="10111010"  ;
when "00101100"=> d<="10101110"  ; when "00101101"=> d<="10100010"  ;
when "00101110"=> d<="10010110"  ;when "00101111"=> d<="10001001"  ;
when "00110000"=> d<="01111100"  ; when "00110001"=> d<="01110000"  ;
when "00110010"=> d<="01100011"  ;when "00110011"=> d<="01010111"  ;
when "00110100"=> d<="01001011"  ; when "00110101"=> d<="01000000"  ;
when "00110110"=> d<="00110101"  ;when "00110111"=> d<="00101011"  ;
when "00111000"=> d<="00100010"  ; when "00111001"=> d<="00011010"  ;
when "00111010"=> d<="00010011"  ;when "00111011"=> d<="00001101"  ;
when "00111100"=> d<="00001000"  ; when "00111101"=> d<="00000100"  ;
when "00111110"=> d<="00000001"  ;when "00111111"=> d<="00000000"  ;

 when others=>null;
end case;
end process;

end ab; 

?? 快捷鍵說明

復制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
国产在线国偷精品免费看| 欧美一区二区三区色| 三级影片在线观看欧美日韩一区二区 | 成人污视频在线观看| 国产在线麻豆精品观看| 国产专区欧美精品| 高清日韩电视剧大全免费| 成人福利视频网站| a美女胸又www黄视频久久| 国产一区二区精品久久99| 韩日精品视频一区| 成人高清视频在线观看| 日本道免费精品一区二区三区| 成人97人人超碰人人99| 91在线精品一区二区三区| 在线观看网站黄不卡| 欧美人xxxx| 欧美成人一区二区三区片免费 | 欧美一区二区三区在线| 精品欧美一区二区久久| 国产欧美1区2区3区| 悠悠色在线精品| 男男视频亚洲欧美| 成人午夜电影久久影院| 欧美中文字幕一区| 亚洲精品一区二区三区影院| 国产精品久久久久久一区二区三区 | 欧美电视剧在线观看完整版| 国产欧美一区二区精品秋霞影院| 亚洲天堂久久久久久久| 青青草国产成人99久久| 国产成人av资源| 欧美日韩精品高清| 国产精品少妇自拍| 蜜臀久久99精品久久久久宅男 | 精品美女在线观看| 国产精品乱人伦中文| 奇米色一区二区| 91在线丨porny丨国产| 正在播放一区二区| 国产精品亲子伦对白| 全部av―极品视觉盛宴亚洲| av中文字幕亚洲| 日韩精品一区国产麻豆| 一区二区三区资源| 成人美女视频在线看| 精品区一区二区| 日韩精品乱码av一区二区| 成人性视频免费网站| 欧美一级欧美三级| 亚洲一区二区高清| 成人国产亚洲欧美成人综合网| 欧美高清视频不卡网| 亚洲精选在线视频| 99re66热这里只有精品3直播 | 色综合久久综合| 国产色91在线| 久久精品理论片| 欧美一区三区四区| 亚洲成人av资源| 91国产免费观看| 一区二区在线观看免费视频播放| 国产aⅴ综合色| 久久久精品国产免大香伊| 三级亚洲高清视频| 日本韩国精品在线| 国产精品国产a级| 成人免费av资源| 国产精品国产三级国产aⅴ中文| 国内外成人在线| 精品久久一区二区| 国产在线看一区| 国产嫩草影院久久久久| 国产精品自拍在线| 亚洲国产成人一区二区三区| 不卡欧美aaaaa| 最新国产精品久久精品| 99久久精品国产导航| 亚洲欧洲中文日韩久久av乱码| 91在线码无精品| 亚洲一区二三区| 欧美一区二区在线观看| 六月丁香婷婷久久| 欧美激情一区二区三区四区| av激情亚洲男人天堂| 一区二区三区日韩精品视频| 欧美视频完全免费看| 免费xxxx性欧美18vr| 国产视频视频一区| 欧洲精品视频在线观看| 日本中文在线一区| 国产亚洲婷婷免费| 色网综合在线观看| 毛片av一区二区| 国产免费观看久久| 欧美少妇一区二区| 国产在线视频一区二区三区| 国产精品卡一卡二卡三| 欧美色手机在线观看| 精品影院一区二区久久久| 中文字幕乱码一区二区免费| 欧美亚洲一区二区三区四区| 美女任你摸久久| 一区在线中文字幕| 欧美丰满高潮xxxx喷水动漫| 国产精品影视在线观看| 亚洲一区二区高清| 久久久久久麻豆| 欧美日韩成人综合| 高清成人在线观看| 五月婷婷久久丁香| 中文字幕中文在线不卡住| 欧美日韩国产首页| 99久久99久久精品国产片果冻| 日韩在线卡一卡二| 综合亚洲深深色噜噜狠狠网站| 欧美电影精品一区二区| 欧美亚洲国产bt| 粉嫩嫩av羞羞动漫久久久| 日韩制服丝袜av| 尤物在线观看一区| 国产精品久久久久久久久久久免费看| 欧美性色欧美a在线播放| 国产成人夜色高潮福利影视| 亚洲电影一级黄| 亚洲天堂中文字幕| 中文字幕国产一区二区| 日韩免费观看高清完整版| 色94色欧美sute亚洲线路一ni| 国产乱理伦片在线观看夜一区| 亚洲一区二区黄色| 国产精品免费网站在线观看| 日韩一区二区在线免费观看| 精品视频在线看| 91麻豆国产香蕉久久精品| 国产a视频精品免费观看| 国产在线一区二区| 青青青爽久久午夜综合久久午夜| 亚洲gay无套男同| 亚洲一区在线免费观看| 亚洲视频小说图片| 国产精品久久久久久久久久久免费看| 日韩免费看的电影| 欧美日韩一卡二卡三卡 | 美腿丝袜亚洲色图| 亚洲成人动漫一区| 五月婷婷综合激情| 欧美a一区二区| 美女视频一区二区| 久久国产欧美日韩精品| 久久机这里只有精品| 日韩精品乱码免费| 人人精品人人爱| 久久国产麻豆精品| 日本aⅴ精品一区二区三区| 天天影视网天天综合色在线播放| 亚洲午夜久久久久| 亚洲一区二区视频在线观看| 亚洲国产精品国自产拍av| 亚洲色图欧洲色图婷婷| 136国产福利精品导航| **欧美大码日韩| 亚洲最大成人综合| 亚洲成人av中文| 同产精品九九九| 久久91精品国产91久久小草| 极品美女销魂一区二区三区免费 | 中文字幕一区视频| 国产精品女同一区二区三区| 国产欧美精品一区| 国产精品久久久久影院色老大| 亚洲黄色尤物视频| 琪琪久久久久日韩精品| 国产在线观看一区二区| zzijzzij亚洲日本少妇熟睡| 91福利精品视频| 欧美不卡123| 亚洲欧美一区二区三区久本道91 | 欧美日韩综合一区| 欧美一级免费大片| 国产亚洲1区2区3区| 亚洲日本护士毛茸茸| 午夜视频一区在线观看| 图片区小说区国产精品视频| 国产综合一区二区| 91高清在线观看| 欧美成人三级电影在线| 亚洲日本青草视频在线怡红院| 日韩二区在线观看| 99国产精品国产精品久久| 91精品国产欧美一区二区成人| 国产精品免费丝袜| 毛片基地黄久久久久久天堂| 91啪亚洲精品| 久久精品欧美日韩| 石原莉奈在线亚洲二区| 91在线国产观看| 国产午夜精品一区二区| 偷偷要91色婷婷| 91亚洲精品久久久蜜桃|