亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關于我們
? 蟲蟲下載站

?? modelsim下用systemc做設計驗證方法與示例.txt

?? 可以學習一下仿真軟件
?? TXT
字號:
如何在ModelSim下編譯和仿真SystemC的設計?
如何在ModelSim下用SystemC的做驗證?

        SystemC作為一種系統級設計與驗證語言,非常適合做復雜IC的驗證,而不是用于RTL描述。很多人問我如何將SystemC綜合和編譯為可以下載的CPLD/FPGA的比特文件或者綜合為ASIC網表,我的回答是用SystemC做RTL設計還為時過早。可以想象將來可能將SystemC的行為級的描述綜合為網表,即所謂高層次綜合,這是一個很美好的未來,但未來不是現在。Verilog/SystemVerilog依然是最好的RTL設計語言。未來的RTL設計屬于SystemVerilog。關于SystemC和SystemVerilog在設計中的地位問題,我認為在驗證方面,SystemC有明顯的優勢。如果你設計純粹的ASIC,那么用SystemVerilog可能就足夠了。但是在很多場合,軟硬件同時存在,SystemC的代碼很多部分可以之間用于設計軟件,這個是很明顯的優勢。大家同時也可以看到,現在在ModelSim等仿真軟件中,SystemC使用起來跟Verilog/VHDL一樣,非常方便。舉一個例子,我們假如想做DVB-S2的LDPC,我們一定會先用C++(M atlab也可以)寫仿真程序,驗證算法的正確性。然后假設我們已經確定了目標ASIC的架構,打算用Verilog做RTL設計。現在既然C++代碼的驗證部分可以幾乎不加改變的用于基于SystemC的驗證模塊的設計,我們為什么還要費力的用SystemVerilog重新寫一遍驗證代碼呢?
下面步入正題,講一講如何在ModelSim下編譯和仿真SystemC的設計。我們設計一個一位移位寄存器模塊(Verilog代碼):
1.shifter.v
`timescale 1ns/100ps
module shifter(clk,nrst,din,dout);
input clk,nrst;
input din;
output reg dout;
always(posedge clk or negedge nrst) begin:shifter_with_nreset
if(~nrst) dout<=1'b0;
else dout<=din;
end
endmodule

頂層設計為驗證模塊加shifter模塊的例化:
2.tb.v
`timescale 1ns/100ps
module tb;
wire clk,nrst,data,data_fd_bk;
shifter_test tester(.clk(clk),.nrst(nrst),.data(data),.data_fd_bk(data_fd_bk)); 
shifter uut(.clk(clk),.nrst(nrst),.din(data),.dout(data_fd_bk));
endmodule
其中shifter_test用SystemC描述。這個例子實際上不能顯示SystemC的好處。
下面是SystemC的代碼:

3.Shifter_test.h
#ifndef __shifter_test_h
#define __shifter_test_h

#include <systemc.h>
#include <assert.h>
SC_MODULE(shifter_test)
{
public:
// Module ports
sc_out<bool> clk,nrst;
sc_out<bool> data;
sc_in<bool> data_fd_bk;
bool data_reg;
bool err;
sc_clock internal_clk;

void st_behaviour()
{
nrst=0;
data=0;
wait(5); 
data=1;
wait(2);
nrst=1;
wait(2);
while(1)
{
data=0;
wait(2);
data=1;
wait(3);
data=0;
wait(4);
if(err) printf("Test failed");
else printf("Test passed\n");
}
}

void gen_clk(){clk=internal_clk.read();}
void disp_data(){
printf("nrst=%d,data input=%d,data output=%d\n",nrst.read(),data_reg,data_fd_bk.read());
if((nrst.read()==1) && (data_reg!=data.read())) 
{
err=1; 
assert(false); 
}
data_reg=data.read();
}

SC_CTOR(shifter_test)
:clk("clk"),nrst("nrst"),data("data"),data_fd_bk("data_fd_bk"),internal_clk("internal_clk",1000,0.5,SC_NS)
{
SC_METHOD(gen_clk);
sensitive<<internal_clk; 
dont_initialize(); 

SC_CTHREAD(st_behaviour, clk.pos());

SC_METHOD(disp_data);
sensitive<<clk.neg();

err=0;
} 
};
#endif

4.shifter_test.cpp 
#include "shift_test.h" 
SC_MODULE_EXPORT(shifter_test); 
只有兩行代碼。注意這里SC_MODULE_EXPORT的作用是將systemc的模塊對其它語言可見。

        將以上4個文件加入到ModelSim的Project中,之后輸入編譯命令如下: 
         sccom –g *.cpp sccom –link vlog *.v vsim tb 
        就可以根據需要看一些信號的仿真波形了。
        這里只有 sccom –g *.cpp sccom –link 與SystemC有關。 在ModelSim中選擇Compile all之后,再執行sccom –link,其效果等價于sccom –g *.cpp;vlog *.v;sccom –link。 
       大家可以看到,在ModelSim中使用SystemC是如此簡單。很多人比較熟悉VC,而不熟悉gcc,可能對于gcc的編譯錯誤信息不是十分理解,這是在ModelSim中使用SystemC的一個大障礙。有兩個問題需要提醒。
      一是好像ModelSim對于sc_clock的參數理解有些問題。 比如 sc_clock internal_clk("internal_clk",1000,0.5,SC_NS) 的仿真波形顯示的周期是100ns,我將Verilog的`timescale 設置為1ns/1ns仍然是100ns,不知道是不是Bug. 
      二是sc_clock必須初始化,否則在vsim tb時就會出現類似下面的錯誤 
vsim tb # Loading work.tb # Loading work.shifter # Loading work/systemc.so # Loading work.shifter_test # ** Error: (vsim-6504) sc_clock low time is zero: increase the period or decrease the duty cycle Also check the simulator resolution and time-unit settings in the modelsim.ini file. The default simulator resolution and time-unit used by ModelSim is 1ns.: clock 'tester/internal_clk' # ** Fatal: Fatal SystemC error detected, exiting... # Time: 0 ps Iteration: 0 Instance: /tb/tester/internal_clk file: C:\Modeltech_6.0c\include\systemc\sc_clock.h # FATAL ERROR while loading design 
好像有人問過類似問題。

?? 快捷鍵說明

復制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
亚洲精品成人a在线观看| 久久综合色婷婷| 成人免费视频视频| 国精产品一区一区三区mba桃花| 午夜亚洲福利老司机| 五月天一区二区三区| 天天综合天天综合色| 成人精品高清在线| 成人性生交大片免费| 97精品国产97久久久久久久久久久久 | 91国内精品野花午夜精品| 成人免费看视频| 一本色道久久综合精品竹菊| 99re热视频精品| 欧美三级乱人伦电影| 91麻豆精品国产91久久久更新时间 | 欧美激情一区不卡| 一区精品在线播放| 午夜精品福利一区二区蜜股av| 偷拍自拍另类欧美| 国产精品一级在线| 99久久精品免费看| 欧美日韩电影在线播放| 欧美大尺度电影在线| 中文字幕精品—区二区四季| 精品伊人久久久久7777人| 韩国三级在线一区| 色综合久久99| 欧美丰满高潮xxxx喷水动漫 | 国产精品一区二区你懂的| 国产成人亚洲综合a∨婷婷图片| av在线播放不卡| 欧美色精品在线视频| 精品国产一区二区三区忘忧草 | 欧美私人免费视频| 337p日本欧洲亚洲大胆色噜噜| 国产精品热久久久久夜色精品三区| 亚洲精品视频观看| 国内外成人在线视频| 色综合中文字幕| 欧美va在线播放| 一区二区三区精品在线观看| 久99久精品视频免费观看| 色婷婷亚洲综合| 欧美精品一区二区三区久久久| 亚洲精品视频在线看| 国产电影一区二区三区| 在线成人免费视频| 亚洲女爱视频在线| 国产精品911| 日韩午夜在线影院| 亚洲国产精品久久不卡毛片| 成人高清av在线| 亚洲精品在线观看网站| 午夜精品久久久| 在线视频综合导航| 国产精品高潮呻吟久久| 精品综合久久久久久8888| 欧美乱妇一区二区三区不卡视频| 国产精品久久久久久久久快鸭| 韩国女主播一区二区三区| 91精品婷婷国产综合久久性色| 亚洲最新在线观看| 91免费版pro下载短视频| 国产精品色呦呦| 国产成人综合视频| xfplay精品久久| 国产一区二区三区久久久| 日韩欧美国产精品一区| 石原莉奈一区二区三区在线观看| 一本大道久久a久久精品综合| 国产精品天美传媒| 成人免费福利片| 国产精品久久久久久亚洲毛片 | 亚洲成va人在线观看| 色综合av在线| 一区二区三区国产| 久久久久久久国产精品影院| 免费观看在线综合色| 日韩一区二区影院| 久久精品国产久精国产爱| 欧美一区二区精品在线| 蜜桃av一区二区在线观看 | 国产经典欧美精品| 久久综合中文字幕| 国产激情一区二区三区桃花岛亚洲| 2022国产精品视频| 成人av在线资源| 亚洲综合在线电影| 欧美日韩国产精选| 久久se这里有精品| 欧美高清在线视频| 色综合婷婷久久| 午夜精品久久久久久久蜜桃app| 4438成人网| 国产一区二区毛片| 亚洲手机成人高清视频| 欧美日韩精品一二三区| 久久99久久久久久久久久久| 亚洲综合自拍偷拍| 欧美一区二区三区电影| 国产曰批免费观看久久久| 中文字幕一区二区三区精华液| 91国在线观看| 国产综合色在线视频区| 1024国产精品| 日韩一级二级三级精品视频| 国产成人av电影在线| 亚洲制服欧美中文字幕中文字幕| 日韩欧美激情一区| 99精品国产视频| 黑人精品欧美一区二区蜜桃 | 一区二区视频在线看| 这里只有精品免费| 不卡的av电影在线观看| 日本不卡不码高清免费观看| 国产精品色眯眯| 日韩一区二区三区电影在线观看| 成人动漫中文字幕| 日韩av电影天堂| 亚洲四区在线观看| 国产亚洲人成网站| 555夜色666亚洲国产免| 99re成人在线| 国产91高潮流白浆在线麻豆| 日韩成人一级大片| 亚洲午夜激情网站| 国产精品高潮久久久久无| 精品av综合导航| 91精品婷婷国产综合久久竹菊| 91论坛在线播放| 国产69精品久久99不卡| 激情深爱一区二区| 日韩精品成人一区二区三区| 一区二区三区四区蜜桃| 国产精品麻豆99久久久久久| 精品少妇一区二区三区在线播放| 欧美视频在线不卡| 色系网站成人免费| 91免费版在线| 91美女福利视频| www.在线成人| 成人精品鲁一区一区二区| 韩国av一区二区三区四区| 美女网站色91| 美女视频网站久久| 精品一区二区三区的国产在线播放 | 26uuu色噜噜精品一区二区| 7777精品伊人久久久大香线蕉经典版下载 | 久久99精品久久久久久动态图 | 欧美在线三级电影| 91在线观看地址| 色综合天天综合网天天狠天天| 大桥未久av一区二区三区中文| 国产成人小视频| 成人美女在线观看| 99国产一区二区三精品乱码| 91小视频免费观看| 欧美中文字幕一区二区三区亚洲| 91日韩一区二区三区| 色婷婷av一区| 欧美日韩国产精选| 日韩欧美二区三区| 国产免费观看久久| 国产精品短视频| 一区二区在线观看不卡| 午夜欧美一区二区三区在线播放| 免费欧美高清视频| 国产精品一区久久久久| av资源站一区| 精品视频一区二区三区免费| 91麻豆精品国产91久久久久久| 日韩欧美国产午夜精品| 国产三级三级三级精品8ⅰ区| 中文字幕一区二区日韩精品绯色 | 欧美性一级生活| 91精品国产91久久久久久一区二区 | 夜夜爽夜夜爽精品视频| 首页国产欧美久久| 国产一区二区三区香蕉| www..com久久爱| 欧美女孩性生活视频| 久久这里都是精品| 亚洲九九爱视频| 麻豆成人久久精品二区三区红| 国产69精品久久久久777| 精品视频一区二区三区免费| 欧美r级电影在线观看| 亚洲欧美一区二区三区国产精品 | 国产精品久久二区二区| 午夜精品福利一区二区三区av| 国产成a人无v码亚洲福利| 欧美亚洲自拍偷拍| 国产欧美日韩另类一区| 婷婷一区二区三区| 成人av电影在线观看| 欧美精品日日鲁夜夜添| 亚洲欧美另类综合偷拍| 国产在线播放一区| 91精品国产综合久久精品麻豆|