?? 關于modelsim的使用.txt
字號:
由于modelsim命令模式查看波形不方便,所以我們在仿真結(jié)束后查看波形進行查看,就需要存儲波形。下面是網(wǎng)上的一點東西,給我的仿真帶來了方便。
VCD system task calls in the Verilgsource code.
.可以通過在源代碼中添加語句來實現(xiàn)
initial begin
$Dumpfile("./test.fsdb");
$Dumpvars(0,test);
#60000000 $finish;
end
.也可以通過modelsim的命令來實現(xiàn)
vcdadd (CR-200) $dumpvars 轉(zhuǎn)儲層次信號
vcdfile (CR-210) $dumpfile 打開一個文件準備轉(zhuǎn)儲波形數(shù)據(jù)
vcdoff (CR-216) $dumpoff 停止轉(zhuǎn)儲
vcdon (CR-217) $dumpon 開始轉(zhuǎn)儲
modelsim 命令行模式舉例:
vlib work
vmap work work
vlog -wrok work testbench.v
vsim -c work.testbench << !
vrun -all
quit -f
!
上面的腳本可以做成一個do文件執(zhí)行。
?? 快捷鍵說明
復制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號
Ctrl + =
減小字號
Ctrl + -