亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關于我們
? 蟲蟲下載站

?? code.vhd

?? 有關鍵盤接口的程序
?? VHD
字號:
---**the copyright has clear the shake problems
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
--*****************************************************
ENTITY CODE IS
 PORT(
      clk,user_admin,clear,enter,chgcode,res:IN STD_LOGIC;
      num:IN STD_LOGIC_VECTOR(9 DOWNTO 0);
      openlock:buffer std_logic;
      alarm,o_ua,o_chgcode,chgcode_ua:OUT STD_LOGIC;
      s_out:out std_logic_vector(3 downto 0)
              );
 END CODE;
--******************************************************
ARCHITECTURE behave OF CODE IS
TYPE STATES IS (ss,s1,s2,s3,s4,check,sa_open,su_open,chos_ua,s_chgcode,Uchgcode,back);
SIGNAL state:STATES;
SIGNAL one_key,code1,code2,code3,code4:STD_LOGIC_VECTOR(3 DOWNTO 0);
SIGNAL user_code,admin_code:STD_LOGIC_VECTOR(15 DOWNTO 0);
signal temp_code,old_code,new1_code,new2_code:STD_LOGIC_VECTOR(15 DOWNTO 0);
SIGNAL u_err,chg_num:STD_LOGIC_VECTOR(1 DOWNTO 0);
signal key:std_logic_vector(13 downto 0);
signal inkey,outopen,ifnum,s_ua,chg_c,a_ua,back_time:std_logic;
signal sure_key,shake_0key,x,y,dout:std_logic;
signal time:STD_LOGIC_VECTOR(7 DOWNTO 0);
signal shake_key:std_logic_vector(3 downto 0);
BEGIN
   temp_code<=code4&code3&code2&code1;--
   key<=user_admin&chgcode&enter&clear&num;--
   inkey<=user_admin or chgcode or enter or clear or num(0) or num(1) or num(2) or num(3) or num(4) or num(5) or num(6) or num(7) or num(8) or num(9);
   ifnum<=not(one_key(3) and ((one_key(2) or one_key(1))));--ifnum='1',the key is a num
   o_ua<=s_ua;--
   chgcode_ua<=a_ua;--
   o_chgcode<=chg_c;--
   back_time<= time(7);--
 main:PROCESS(res,clk)
  BEGIN
    if (res='1')then
          state<=ss;
          user_code<="0001000100010001";--1111
          admin_code<="1000100010001000";--8888
          u_err<="00";
          chg_num<="00";
          s_ua<='0';
          a_ua<='0';
          chg_c<='0';----when the code keep the changing state,the signal is 1        
     elsif clk'EVENT AND clk='1' then
            case state is
            when ss=>
                      if ifnum='1'  then --
                             code1<=one_key;
                             state<=s1;
                       elsif (one_key="1101" and chg_c='0')then 
                             s_ua<=not s_ua;
                             state<=ss;
                       elsif (one_key="1110") then state<=ss;
                       elsif(back_time='1')then state<=back;
                     end if;
              when s1=>
                    if(ifnum='1')then --
                             code2<=one_key;
                             state<=s2;
                       elsif (one_key="1010")then --clear
                             state<=ss;
                       elsif(back_time='1')then state<=back;
                       elsif (one_key="1110") then state<=s1;
                     end if;
             when s2=>
                    if(ifnum='1')then --
                             code3<=one_key;
                             state<=s3;
                       elsif (one_key="1010")then --clear
                             state<=s1;
                       elsif(back_time='1')then state<=back;
                       elsif (one_key="1110") then state<=s2;
                     end if;
             when s3=>
                    if(ifnum='1')then --
                             code4<=one_key;
                             state<=s4;
                       elsif (one_key="1010")then --clear
                             state<=s2;
                       elsif(back_time='1')then state<=back;
                       elsif (one_key="1110") then state<=s3;
                     end if;
             when s4=>
                       if (one_key="1011" and chg_c='0')then --enter
                             state<=check;
                       elsif (one_key="1010")then --clear
                             state<=s3;
                       elsif (one_key="1011" and chg_c='1' and s_ua='1' and a_ua='0')then 
                            state<=s_chgcode;
                       elsif (one_key="1011" and chg_num="01")then 
                            chg_num<="10";
                            old_code<=temp_code;
                            state<=ss;
                       elsif (one_key="1011" and chg_num="10")then 
                            chg_num<="11";
                            new1_code<=temp_code;
                            state<=ss;
                       elsif (one_key="1011" and chg_num="11")then 
                            chg_num<="00";
                            new2_code<=temp_code;
                            state<=s_chgcode;
                            elsif(back_time='1')then state<=back; 
                       elsif (one_key="1110") then state<=s4;
                      end if;
        
             when check=>
                      if(s_ua='1' and temp_code=admin_code) then state<=sa_open;
                      elsif(s_ua='1' and temp_code/=admin_code)then state<=ss;
                      elsif(s_ua='0' and u_err="11")then state<=ss;
                      elsif(s_ua='0' and temp_code=user_code)then state<=su_open;
                      elsif(s_ua='0' and temp_code/=user_code and u_err/="11")then u_err<=u_err+1; state<=ss;
                      
                      else state<=ss;
                      end if;
             when su_open=>
                        u_err<="00";--clear the error times for user
                          if (one_key="1011" or one_key="1101")then
                           --press enter or u_a key back to wait state
                           state<=ss;
                          elsif (one_key="1100") then 
                             chg_c<='1';
                             chg_num<="01";
                              state<=ss;
                        else state<=su_open;
                    end if;
            when sa_open=>
                      u_err<="00";
                        if (one_key="1011")then --enter
                          state<=ss;
                        elsif (one_key="1100")then --chgcode
                          chg_c<='1';
                          state<=chos_ua;
                        elsif  one_key="1110" then state<=sa_open;
                      end if;
             when chos_ua=>
                        if (one_key="1101")then--u_a 
                          a_ua<=not a_ua;
                       elsif (one_key="1011" and a_ua='1' )then --enter
                            chg_num<="01";
                            state<=ss;
                       elsif (one_key="1011" and a_ua='0' )then --enter
                            state<=ss;
                       elsif  one_key="1110" then  state<=chos_ua;
                     end if;
             when s_chgcode=>chg_c<='0';
                     if (a_ua='0' and s_ua='1')then
                       user_code<=temp_code;
                       state<=ss;
                      elsif (s_ua='0')then state<=Uchgcode;
                      elsif(a_ua='1' and old_code=admin_code and new1_code=new2_code)then
                        admin_code<=new1_code;
                        a_ua<='0';
                        state<=ss;
                      else  state<=ss; 
                      end if;  
                       
             when Uchgcode=>chg_c<='0';
                     if (old_code=user_code and new1_code=new2_code)then 
                        user_code<=new1_code;
                         state<=ss;
                     else state<=ss;
                      end if;
             when back=>
                         chg_c<='0';
                         s_ua<='0';
                         a_ua<='0';
                         chg_num<="00";
                         state<=ss;
             when others =>null;
            end case;
     END IF;
  END PROCESS main;
--********************************
 
--********************************
INPUT:PROCESS(clk)
BEGIN
   if dout='0' then one_key<="1110";
 elsif clk'event and clk='0' then 
  CASE key IS
   WHEN "00000000000001"=>one_key<="0000";--0
   WHEN "00000000000010"=>one_key<="0001";--1
   WHEN "00000000000100"=>one_key<="0010";--2
   WHEN "00000000001000"=>one_key<="0011"; --3
   WHEN "00000000010000"=>one_key<="0100"; --4
   WHEN "00000000100000"=>one_key<="0101"; --5
   WHEN "00000001000000"=>one_key<="0110"; --6
   WHEN "00000010000000"=>one_key<="0111"; --7
   WHEN "00000100000000"=>one_key<="1000"; --8
   WHEN "00001000000000"=>one_key<="1001"; --9
   when "00010000000000"=>one_key<="1010";--clear
   when "00100000000000"=>one_key<="1011";--enter
   when "01000000000000"=>one_key<="1100";--chgcode
   when "10000000000000"=>one_key<="1101";--u_a
   when "00000000000000"=>one_key<="1110";--u_1
   WHEN OTHERS          =>one_key<="1111";
   END CASE;
 end if;
END PROCESS INPUT;
--*******************************
--******************************
process(inkey,clk)--sampling
begin
 if inkey='0' then
   shake_key<="0000";
   elsif clk'event and clk='1' then
    if shake_key(3)='1' then
     shake_key<=shake_key;
     else   shake_key<=shake_key+1;
   end if;
 end if;
end process; 
--*****************************
 
--*******************************
 process(clk)
 begin
  if clk'event and clk='1' then
   x<=shake_key(3);
   y<=x;
  end if;
  dout<=x and( not y);
 end process;
--*******************************
 
process(clk)
begin
alarm<=u_err(0) and u_err(1);--to show alarm
case state is
 when sa_open=>openlock<='1';
 when su_open=>openlock<='1';
 when s1=>s_out<="0001";
 when s2=>s_out<="0010";
 when s3=>s_out<="0100";
 when s4=>s_out<="1000";
 when others=>openlock<='0';s_out<="0000";
end case;
end process;
--******************************
process(clk)
begin
 if one_key/="1110" or openlock='1' then time<="00000000";
 elsif clk'EVENT AND clk='1' then time<=time+1;
 end if;
end process;
--******************************
END behave;

?? 快捷鍵說明

復制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
日本中文一区二区三区| 一区二区三区在线观看欧美| 日韩经典一区二区| 欧美精品日韩综合在线| 日韩成人免费电影| 精品久久久久久久一区二区蜜臀| 丁香六月综合激情| 国产精品天天摸av网| 色综合夜色一区| 日韩福利电影在线观看| 日韩一区二区在线观看| 久久97超碰色| 亚洲欧美综合网| 欧美乱熟臀69xxxxxx| 激情久久五月天| 国产精品毛片高清在线完整版| 欧美亚洲综合一区| 国产一区美女在线| 午夜精品久久久久久久久久| 久久青草国产手机看片福利盒子| 99视频热这里只有精品免费| 亚洲人午夜精品天堂一二香蕉| 日韩欧美国产午夜精品| 91福利资源站| 99久久国产综合精品色伊| 三级久久三级久久久| 日韩一区二区三区视频| 欧美性猛片xxxx免费看久爱| 国产精品影视在线| 亚洲a一区二区| 一区二区在线观看视频| 久久久99精品久久| 久久久久久麻豆| 精品国产欧美一区二区| 欧洲人成人精品| 色综合天天综合给合国产| 国产69精品久久久久777| 亚洲1区2区3区4区| 午夜国产不卡在线观看视频| 亚洲一二三四在线观看| 亚洲欧洲av在线| 国产欧美一区二区精品性色超碰 | 久久精品视频免费| 欧美va在线播放| 精品日韩在线观看| 欧美一级xxx| 日韩亚洲欧美高清| 精品国产乱码久久久久久1区2区| 欧美日韩在线播放三区四区| 色88888久久久久久影院野外| 99久久久久免费精品国产| 91色在线porny| 色www精品视频在线观看| 欧美日韩国产中文| 欧美一级黄色录像| 久久久精品人体av艺术| 精品成人一区二区三区四区| 国产色产综合产在线视频| 91麻豆精品国产91久久久久久久久| 欧洲一区二区av| 日本一区二区动态图| 亚洲福利电影网| 国产精品美日韩| 亚洲va国产va欧美va观看| 中文字幕一区二区在线观看| 秋霞午夜鲁丝一区二区老狼| 久久精品国产精品亚洲综合| 丁香六月久久综合狠狠色| 欧美日韩精品一区二区三区蜜桃 | 99精品欧美一区二区蜜桃免费| 一本到一区二区三区| 日韩女优av电影| 国产精品成人在线观看| 麻豆精品国产传媒mv男同| 欧美日韩亚洲综合一区 | 亚洲成国产人片在线观看| 99精品国产99久久久久久白柏 | 最新欧美精品一区二区三区| 三级影片在线观看欧美日韩一区二区 | 日韩精品一区在线观看| 亚洲色图色小说| 久久99精品国产麻豆不卡| 欧美午夜影院一区| 亚洲同性gay激情无套| 毛片av中文字幕一区二区| 欧美在线制服丝袜| 亚洲欧美日韩国产手机在线 | 久久成人av少妇免费| 欧美日韩一区 二区 三区 久久精品| 国产精品美女视频| 风间由美一区二区av101| 欧美精品一区二区三区高清aⅴ | 亚洲国产成人私人影院tom| 久久精品国产精品亚洲综合| 欧美va亚洲va| 精品一区二区三区日韩| 日韩你懂的在线观看| 男人的天堂久久精品| 日韩欧美中文字幕一区| 日韩国产欧美一区二区三区| 欧美精品免费视频| 亚洲高清免费观看高清完整版在线观看| 亚洲欧洲精品一区二区三区| 国产精品影视在线观看| 精品国产亚洲在线| 国产做a爰片久久毛片| 国产日韩欧美精品电影三级在线| 国产在线不卡视频| 亚洲第一福利一区| 欧洲中文字幕精品| 午夜精品福利一区二区三区av| 欧美乱妇15p| 麻豆精品视频在线| 久久久另类综合| 成人毛片在线观看| 国产精品女上位| 色婷婷激情久久| 麻豆国产精品官网| 日本一区二区三区dvd视频在线 | 中文字幕一区二区不卡| 欧美三区免费完整视频在线观看| 午夜精品免费在线观看| 精品国产三级电影在线观看| 成人精品国产免费网站| 免费久久精品视频| 亚洲天堂网中文字| 欧美乱熟臀69xxxxxx| 国产成人精品在线看| 国产精品久线观看视频| 欧美一区二区福利视频| jlzzjlzz亚洲日本少妇| 免费成人结看片| 偷拍一区二区三区四区| 中文字幕在线观看一区二区| 精品国产第一区二区三区观看体验| 成人美女在线视频| 国产精品一区二区三区网站| 美女视频黄久久| 亚洲综合免费观看高清完整版在线| 日韩精品一区二区三区中文不卡 | 国产成人无遮挡在线视频| 日韩制服丝袜av| 亚洲综合在线电影| 久久精品亚洲精品国产欧美kt∨| 欧美三日本三级三级在线播放| 成人aaaa免费全部观看| 日本成人在线看| 秋霞国产午夜精品免费视频| 亚洲综合一区二区| 亚洲精品乱码久久久久久黑人 | 精品久久五月天| 久久久精品影视| 国产日韩av一区二区| 亚洲欧洲成人精品av97| 亚洲免费av在线| 亚洲国产sm捆绑调教视频| 一区二区在线观看不卡| 五月天亚洲婷婷| 青青草原综合久久大伊人精品优势| 亚洲国产日韩a在线播放| 国产精品视频九色porn| 亚洲男人天堂av| 午夜久久福利影院| 久久福利视频一区二区| 日本不卡视频在线| 成人高清视频在线| 成人久久久精品乱码一区二区三区| 国产激情一区二区三区桃花岛亚洲| 成人久久视频在线观看| 7777精品伊人久久久大香线蕉超级流畅 | 久久久久97国产精华液好用吗| 国产色综合久久| 亚洲日本在线a| 老司机午夜精品| 成人午夜av在线| 日韩欧美aaaaaa| 亚洲免费色视频| 国产资源在线一区| 欧美群妇大交群中文字幕| 久久在线观看免费| 亚洲一区电影777| 蜜乳av一区二区| 欧美三级视频在线观看| 国产亚洲欧美色| 国产九色精品成人porny| 884aa四虎影成人精品一区| 亚洲在线成人精品| 国产成人在线看| 日韩一级免费一区| 亚洲综合一区二区| 99精品偷自拍| 中文字幕一区二区三区四区| 免费高清视频精品| 91精品国产综合久久精品图片| 欧美激情在线观看视频免费| 国产福利视频一区二区三区| 日韩一区二区三区视频在线| 亚洲一区av在线| 欧美一区在线视频| 免费成人小视频|