亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關于我們
? 蟲蟲下載站

?? testbench.v

?? 內含有完整的UART代碼
?? V
字號:
///////////////////////////////////////////////////////////// File:    ucps_transm_top.v// Version: V0.0// Author:  Jim Luo <Jim.luo@shhic.com>// Date:    070904// Company: SHHIC Co., Ltd.////////////////////////////////////////////////////////////// Description:// This is the transmitting encryted data module file.//////////////////////////////////////////////////////////////// Version: V0.1// Modifier: name <email>// Date:// Description:////////////////////////////////////////////////////////////`timescale 1ns / 100psmodule testbench;    reg	 clk_sys, clk_arm, clk_a, clk_v, rst, rst_n;    reg  lrclk, mclk;    reg	 de1, str, start;	    reg  HSOUT_in1, VSOUT_in;    reg  HSOUT_in, de;    reg[13:0]	count1;    reg[15:0]	count2;    reg[23:0]	MEM1[193919:0];    reg[3:0]	MEM2[14399:0];    reg[31:0]   MEM3[7:0];//    reg[3:0]    d_a;    wire[3:0]    d_a;    wire[23:0]	din;    reg[30:0]	i;    reg[25:0]   j;    reg[11:0]   counter;    reg	        state;     reg         alg_sel;    reg         safe_en,  alg_en,  mod_sel,  state_sel;        reg         data_aes_en;    reg         read_aes_en;    reg         read_aes_en1;    reg[31:0]   arm_in;//    wire[31:0]  arm_in;    wire[31:0]  arm_in1;    wire[31:0]  arm_in2;    reg[3:0]    m;        wire[7:0]   LED1;    wire[7:0]   LED2;/////////////////////////////////////////        wire                    Dout_clk1;        //output HDMI clock signal    wire                    SCLK_out2;        wire                    int1    ;    wire                    int2    ;    wire    [31:0]          arm_out1;    wire    [31:0]          arm_out2;    wire                    DE_out;    wire    [23:0]          Dout_video;    wire    [23:0]          Dout_video1;        wire                    DE_out2;    wire    [23:0]          Dout_video2;        wire                    MCLK_out;    wire                    LRCLK_out;    wire                    SPDIF_out;    wire    [3:0]           Dout_audio;            wire                    MCLK_out1;    wire                    LRCLK_out1;    wire                    SPDIF_out1;    wire    [3:0]           Dout_audio1;         wire                    MCLK_out2;    wire                    LRCLK_out2;    wire                    SPDIF_out2;    wire    [3:0]           Dout_audio2;         reg                     str_a;    reg                     str_a1;////////////////////////////////////////////        integer     FILEVOUT     ;    integer     FILEAOUT     ;    integer     FILEVOUT1     ;    integer     FILEAOUT1     ;    integer     FILEAES1     ;    integer     FILEAES2     ;    integer     FILEVOUT_PASS ;    integer     FILEAOUT_PASS ;    integer     FILEVOUT1_PASS;    integer     FILEAOUT1_PASS;        parameter   de_delay=101;    parameter   dv_clk=10;    parameter   dclk=5;    parameter   da_clk=5000;    parameter   dk=1;                                   top_en u1(    .clk_arm(clk_arm),    .clk_sys(clk_sys),    .rst(rst),    .Din_clk(clk_v),     .Din_video(din),    .HSOUT_in(HSOUT_in),    .VSOUT_in(VSOUT_in),    .DE_in(de),    .Din_audio(d_a),    .MCLK_in(mclk),    .LRCLK_in(lrclk),    .SPDIF_in(1'b1),    .SCLK_in(clk_a),        .safe_en(safe_en),    .alg_en(alg_en),    .alg_sel(alg_sel),    .mod_sel(mod_sel),    .state_sel(state_sel),        .data_aes_en(data_aes_en),    .read_aes_en(read_aes_en),    .data_aes_in(arm_in),        .int(int1),    //interupt     .data_aes_out(arm_out1),         .LED(LED1),        .Dout_clk(Dout_clk1),    .Dout_video(Dout_video2),    .HSOUT_out(HSOUT_out2),    .VSOUT_out(VSOUT_out2),    .DE_out(DE_out2),    .Dout_audio(Dout_audio2),    .MCLK_out(MCLK_out2),    .LRCLK_out(LRCLK_out2),    .SPDIF_out(SPDIF_out2),    .SCLK_out(SCLK_out2)    );    assign    DE_out1       =   DE_out2;assign    HSOUT_out1    =   HSOUT_out2;assign    VSOUT_out1    =   VSOUT_out2;assign    Dout_video1   =   Dout_video2;assign    Dout_audio1   =   Dout_audio2;assign    MCLK_out1     =   MCLK_out2;assign    LRCLK_out1    =   LRCLK_out2;assign    SPDIF_out1    =   SPDIF_out2;top_un u2(    .clk_arm(clk_arm),    .clk_sys(~clk_sys),    .rst(rst),    .Din_clk(clk_v),     .Din_video(Dout_video1),    .HSOUT_in(HSOUT_out1),    .VSOUT_in(VSOUT_out1),    .DE_in(DE_out1),    .Din_audio(Dout_audio1),    .MCLK_in(MCLK_out1),    .LRCLK_in(LRCLK_out1),    .SPDIF_in(SPDIF_out1),    .SCLK_in(clk_a),        .safe_en(safe_en),    .alg_en(alg_en),    .alg_sel(alg_sel),    .mod_sel(mod_sel),    .state_sel(state_sel),        .data_aes_en(data_aes_en),    .read_aes_en(read_aes_en),    .data_aes_in(arm_in),        .int(int2),    //interupt     .data_aes_out(arm_out2),        .LED(LED2),        .Dout_clk(Dout_clk),    .Dout_video(Dout_video),    .HSOUT_out(HSOUT_out),    .VSOUT_out(VSOUT_out),    .DE_out(DE_out),    .Dout_audio(Dout_audio),    .MCLK_out(MCLK_out),    .LRCLK_out(LRCLK_out),    .SPDIF_out(SPDIF_out),    .SCLK_out(SCLK_out)    );       initial                                    begin			    // video and audio clk testbench	    clk_sys=1'b1;	    clk_arm=1'b1;                   	    clk_v=1'b1;                 	    clk_a=1'b1;                 	    lrclk=1'b1;	    #100    mclk=1'b1;       end               always #dclk        clk_sys=~clk_sys;   //system clock        always #dv_clk      clk_v=~clk_v;       //outside video clock        always # dclk       clk_arm=~clk_arm;        always #da_clk      clk_a=~clk_a;//outside audio clock        always #(4*da_clk)  lrclk=~lrclk;//audio left/right signal        always #(2*da_clk)  mclk=~mclk;  //audio output reference clock         initial        begin			    //testbench			    str=1'b0;	  #(290*10)	str=1'b1;       end initial        begin			    //testbench			    state_sel=1'b0;//	  #60   	state_sel=1'b1;       end initial        begin			    //testbench			    safe_en=1'b0;	  #60   	safe_en=1'b1;       end      initial 	begin			     //testbench	  de1=1'b0;	  # ((290+20*2200+192)*10) repeat(10)	  begin	  repeat(540)	  begin	  #(280*20)   de1=1'b1;	  #(1920*20)  de1=1'b0;	  end	  #(44000*20) de1=1'b0;	  	  end	end	initial 	begin			     //testbench	        start=1'b0;	  #20   start=1'b1;	  #10   start=1'b0;	end           initial         begin                        //testbench                 alg_sel=1'b0;//          #60    alg_sel=1'b1;        end        initial         begin                        //testbench                 mod_sel=1'b0;//          #60    mod_sel=1'b1;        end	   initial 	begin			     //testbench	        rst=1'b1;	  #15   rst=1'b0;	end	initial 	begin			     //testbench	        rst_n=1'b0;	  #15   rst_n=1'b1;	end	initial     begin             $readmemh   ("./result_v/data.txt", MEM1);             $readmemh   ("./result_a/audio_data.txt", MEM2);     endinitialfork    FILEVOUT     <= $fopen("./result_v/VideoOut.txt");    FILEAOUT     <= $fopen("./result_a/AudioOut.txt");    FILEVOUT1    <= $fopen("./result_v/VideoOut1.txt");    FILEAOUT1    <= $fopen("./result_a/AudioOut1.txt");joininitialfork    FILEVOUT_PASS     <= $fopen("./result_pass_v/VideoOut.txt");    FILEAOUT_PASS     <= $fopen("./result_pass_a/AudioOut.txt");    FILEVOUT1_PASS    <= $fopen("./result_pass_v/VideoOut1.txt");    FILEAOUT1_PASS    <= $fopen("./result_pass_a/AudioOut1.txt");joinalways @(posedge clk_v)begin    if (DE_out==1 && state_sel==1)        $fwrite(FILEVOUT,"%h\n",Dout_video);endalways @(posedge clk_v)begin    if (DE_out2==1 && state_sel==1)        $fwrite(FILEVOUT1,"%h\n",Dout_video2);endalways @(posedge clk_a)begin    if (str_a1 && state_sel==1)        $fwrite(FILEAOUT1,"%h\n",Dout_audio2);endalways @(posedge clk_a)begin      if(str_a && state_sel==1)        $fwrite(FILEAOUT,"%h\n",Dout_audio);end///////////////PASS mode result dataalways @(posedge clk_v)begin    if (DE_out==1 && state_sel==0)        $fwrite(FILEVOUT_PASS,"%h\n",Dout_video);endalways @(posedge clk_v)begin    if (DE_out2==1 && state_sel==0)        $fwrite(FILEVOUT1_PASS,"%h\n",Dout_video2);endalways @(posedge clk_a)begin    if (str_a1 && state_sel==0)        $fwrite(FILEAOUT1_PASS,"%h\n",Dout_audio2);endalways @(posedge clk_a)begin      if(str_a && state_sel==0)        $fwrite(FILEAOUT_PASS,"%h\n",Dout_audio);endinitial 	begin			     //testbench	        str_a=1'b0;	  #180000   str_a=1'b1;	endinitial 	begin			     //testbench	        str_a1=1'b0;	  #100000   str_a1=1'b1;	end	always @(posedge clk_v or negedge rst_n)     if(rst_n==0)            count1	<=	0;     else if(str==1 && de1==0 && count1 < 14'd2200)	        count1	<=	count1	+	1;          else             begin            if(count1==14'd2200)          	count1	<=	1;            else          	count1	<=	0;	    end	    always @(posedge clk_v or negedge rst_n)     if(rst_n==0)            count2	<=	0;     else if(str==1 && de1==0 && count2 < 16'd44280)	        count2	<=	count2	+	1;          else             begin          if(count2==14'd44280)          	count2	<=	1;          else          	count2	<=	0;	        end	    	    always @(posedge clk_v or negedge rst_n)     if(rst_n==0)       HSOUT_in1	<=	0;     else     	begin	if(str==1 && count1>=11'd88 && count1<11'd132)	   HSOUT_in1	<=	1;	else	   HSOUT_in1	<=	0;	end	     	always @(posedge clk_v or negedge rst_n)     if(rst_n==0)       begin       de   	<=	0;       HSOUT_in	<=	0;       end     else       begin       de	    <=	str & de1;//str &        HSOUT_in	<=	str & HSOUT_in1;//str &        end     always @(posedge clk_v or negedge rst_n)     if(rst_n==0)       VSOUT_in	<=	0;     else     	begin	if(count2>=16'd4488 && count2<16'd15488)	   VSOUT_in	<=	1;	else	   VSOUT_in	<=	0;	endalways @(posedge clk_v or negedge rst_n)    if(rst_n==0)       i	<=	0;     else     	begin     	if(de==1)	    i	<=	i+1;	    else        i	<=	i;	endassign din  =   (de==1) ? MEM1[i]:0;     always @(posedge clk_a or negedge rst_n)    if(rst_n==0)            j	<=	0;     else     	begin//        if(str==1)	        j	<=	j+1;//	    else//	        j	<=	0;	    endassign       d_a	=	(alg_sel==0)?MEM2[j]:0;//always @(posedge clk_a or negedge rst_n)//    if(rst_n==0)//       d_a	<=	0;//     else//     	begin    	////	    #9980    d_a	<=	MEM2[j-1];//            d_a	<=	MEM2[j-1];//	    end    always @(posedge clk_v or	negedge	rst_n)  begin    if(rst_n==0)      begin	    counter	   <= #1 0;	    state		<= #1  1'b0;      end    else      begin	if((de==1) &&(counter<=	11'd1920) )	  begin	    state	    <= #1  1'b0;	    counter    <= #1 counter + 1;	  end	else 	  begin	    state	    <= #1  1'b1;	    counter    <= #1 12'd0;	  end	           end  end    initial $monitor($time,,,"din=%h d_a=%h Dout_video=%h Dout_audio=%h arm_out2=%h", din,d_a, Dout_video, Dout_audio, arm_out2);///////////////////////////////////////////////AES128 testbench    initial        begin                        //testbench               data_aes_en=1'b0;          #20  data_aes_en=1'b1;          #10  data_aes_en=1'b0;          #10  data_aes_en=1'b1;          #10  data_aes_en=1'b0;          #30  data_aes_en=1'b1;          #10  data_aes_en=1'b0;          #20  data_aes_en=1'b1;          #10  data_aes_en=1'b0;//          #10  data_aes_en=1'b1;//          #10  data_aes_en=1'b0;//          #30  data_aes_en=1'b1;//          #10  data_aes_en=1'b0;//          #10  data_aes_en=1'b1;//          #10  data_aes_en=1'b0;//          #30  data_aes_en=1'b1;//          #10  data_aes_en=1'b0;//240       end      initial        begin                        //testbench              arm_in=32'h00000000;          #20 arm_in=32'h2b7e1516;//aes_key=128'h2b7e151628aed2a6abf7158809cf4f3c;          #20 arm_in=32'h28aed2a6;          #40 arm_in=32'habf71588;          #30 arm_in=32'h09cf4f3c;//          #20 arm_in=32'h3243f6a8;//plain_txt=128'h3243f6a8885a308d313198a2e0370734;//          #40 arm_in=32'h885a308d;//          #20 arm_in=32'h313198a2;//          #40 arm_in=32'he0370734;//cryption=39 25 84 1d 02 dc 09 fb dc 11 85 97 19 6a 0b 32;       end     initial        begin                        //testbench               read_aes_en=1'b0;          #420 read_aes_en=1'b1;          #10  read_aes_en=1'b0;          #20  read_aes_en=1'b1;          #10  read_aes_en=1'b0;          #20  read_aes_en=1'b1;          #10  read_aes_en=1'b0;           #20  read_aes_en=1'b1;          #10  read_aes_en=1'b0;       end      initial         begin                        //testbench                 alg_en=1'b0;          #240   alg_en=1'b1;          #10    alg_en=1'b0;        end//always @(posedge clk_arm or negedge rst_n)//    if(rst_n==0)//       m	<=	0;//     else//     	begin//     	if(data_aes_en==1)//	    m	<=	m+1;//	    else//        m	<=	m;//	end//assign arm_in1  =   (data_aes_en==1) ? MEM3[m]:0;//assign arm_in2  =   (data_aes_en==1) ? MEM3[m-1]:0;////initial//     begin//             $readmemh   ("./result_aes128/aes_data.txt", MEM3);//     end//initialfork    FILEAES1     <= $fopen("./result_aes128/aes_data1_Out.txt");    FILEAES2     <= $fopen("./result_aes128/aes_data2_Out.txt");join////always @(posedge clk_arm or negedge rst_n)//begin//    if(rst_n==0)//       read_aes_en1	<=	 0;//    else//       read_aes_en1 <=   read_aes_en;//    //endalways @(posedge clk_arm)begin    if (read_aes_en==1 && alg_sel==1)        $fwrite(FILEAES1,"%h\n",arm_out1);endalways @(posedge clk_arm)begin    if (read_aes_en==1 && alg_sel==1)        $fwrite(FILEAES2,"%h\n",arm_out2);end    endmodule

?? 快捷鍵說明

復制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
91精品午夜视频| 亚洲一区二区三区三| 亚洲综合久久久久| 国产一区二区三区久久久| 色天使色偷偷av一区二区| 久久久亚洲综合| 日韩成人午夜精品| 在线观看av不卡| 日本一区二区不卡视频| 久久激情五月婷婷| 欧美日韩久久久久久| 亚洲视频一二区| 岛国精品一区二区| 久久综合五月天婷婷伊人| 日韩电影在线观看电影| 欧美日韩亚洲综合一区| 亚洲精品大片www| 色综合久久久久久久久| 国产欧美精品国产国产专区 | 国产成人综合网站| 91精品国产丝袜白色高跟鞋| 一卡二卡三卡日韩欧美| 成人精品一区二区三区四区 | 这里只有精品99re| 偷窥国产亚洲免费视频 | 91精品福利视频| 亚洲免费观看高清完整版在线 | 日本不卡在线视频| 欧美一区欧美二区| 日韩**一区毛片| 91精品国产黑色紧身裤美女| 五月天亚洲婷婷| 欧美一区二区三区性视频| 麻豆成人av在线| 欧美成人r级一区二区三区| 久久精品免费观看| 欧美精品一区二区在线播放| 国产精品中文字幕一区二区三区| 日韩免费高清av| 国产大片一区二区| 中文字幕一区不卡| 欧美三片在线视频观看| 婷婷丁香激情综合| 精品理论电影在线| 不卡的av电影| 一区二区三区久久| 欧美成人性战久久| 国产成a人亚洲精品| 亚洲精品大片www| 欧美一区二区三区喷汁尤物| 日本不卡在线视频| 国产欧美日韩激情| 欧美在线免费视屏| 美美哒免费高清在线观看视频一区二区 | 国产目拍亚洲精品99久久精品| 国产91丝袜在线播放九色| 亚洲少妇30p| 欧美精品777| 国产高清无密码一区二区三区| 亚洲老司机在线| 日韩欧美一区二区三区在线| 成人精品电影在线观看| 亚洲成人手机在线| 久久夜色精品国产噜噜av| 91国偷自产一区二区使用方法| 免费看精品久久片| 亚洲三级在线看| 精品国产麻豆免费人成网站| 懂色av一区二区三区免费看| 亚洲综合偷拍欧美一区色| 久久久久久一二三区| 欧美一a一片一级一片| 国产黄色精品视频| 日精品一区二区三区| 国产精品久久久久一区二区三区共| 欧美日韩和欧美的一区二区| 夫妻av一区二区| 免费成人在线影院| 一区二区三区免费看视频| 久久久久国色av免费看影院| 欧美日韩免费电影| 91在线精品秘密一区二区| 美女一区二区久久| 亚洲精品国产一区二区精华液| 久久在线免费观看| 在线播放中文字幕一区| 色88888久久久久久影院按摩| 国产乱码精品1区2区3区| 日本色综合中文字幕| 亚洲一区二区三区视频在线播放| 国产欧美日韩三级| 久久夜色精品国产欧美乱极品| 欧美男生操女生| 欧美性大战久久久| kk眼镜猥琐国模调教系列一区二区| 蜜乳av一区二区三区| 视频一区视频二区在线观看| 亚洲综合成人网| 亚洲毛片av在线| 亚洲人成亚洲人成在线观看图片| 中文字幕国产一区| 国产日韩欧美精品电影三级在线| 日韩欧美一区二区视频| 日韩欧美一二三四区| 日韩一区二区影院| 制服.丝袜.亚洲.中文.综合| 在线看日韩精品电影| 色美美综合视频| 91看片淫黄大片一级在线观看| 国产不卡高清在线观看视频| 国产乱理伦片在线观看夜一区| 国产麻豆精品久久一二三| 韩国午夜理伦三级不卡影院| 激情图片小说一区| 国产乱一区二区| 成人午夜激情片| aa级大片欧美| 一本色道久久加勒比精品| 99国产精品久久久| 欧美又粗又大又爽| 欧美日韩免费一区二区三区| 欧美久久久久免费| 欧美mv和日韩mv的网站| 久久美女高清视频| 国产精品美日韩| 亚洲激情中文1区| 丝袜诱惑制服诱惑色一区在线观看| 日韩二区在线观看| 国产精品亚洲а∨天堂免在线| 成人精品电影在线观看| 欧洲视频一区二区| 91精品视频网| 国产日韩欧美a| 亚洲一区精品在线| 奇米四色…亚洲| 成人国产精品免费网站| 色综合中文字幕国产 | 亚洲一区二区在线观看视频| 日日欢夜夜爽一区| 丰满少妇久久久久久久| 91久久精品一区二区三区| 日韩视频永久免费| 国产精品高清亚洲| 人人爽香蕉精品| voyeur盗摄精品| 日韩欧美第一区| 亚洲天堂免费看| 麻豆精品视频在线观看视频| 成人av电影观看| 欧美一区中文字幕| 国产精品欧美一区喷水| 三级亚洲高清视频| 99久久久免费精品国产一区二区| 欧美日韩另类一区| 国产精品大尺度| 蜜桃精品在线观看| 日本丶国产丶欧美色综合| 精品久久国产字幕高潮| 亚洲伊人色欲综合网| 懂色av一区二区三区蜜臀| 7777精品伊人久久久大香线蕉的| 中文字幕乱码日本亚洲一区二区 | 精品一区二区av| 欧美三级三级三级| 国产精品毛片a∨一区二区三区| 视频一区二区三区中文字幕| 国产成人啪免费观看软件| 精品视频免费看| 亚洲美女在线国产| 国产成人免费在线| 欧美大尺度电影在线| 午夜亚洲国产au精品一区二区| 懂色一区二区三区免费观看 | 精品夜夜嗨av一区二区三区| 欧美日韩精品专区| 亚洲与欧洲av电影| 不卡视频免费播放| 日本一区二区三区高清不卡| 蜜桃久久av一区| 欧美优质美女网站| 日韩理论片一区二区| 国产乱码精品一区二区三区五月婷| 6080国产精品一区二区| 一区二区三区高清在线| 99麻豆久久久国产精品免费| 久久久五月婷婷| 国产一二精品视频| 日韩精品一区二区三区在线播放| 日韩在线a电影| 欧美日韩亚洲综合| 亚洲成av人片一区二区| 91麻豆福利精品推荐| 中文字幕在线不卡视频| eeuss鲁一区二区三区| 国产精品欧美精品| 成人污视频在线观看| 亚洲国产成人一区二区三区| 国产成人综合在线播放| 国产欧美一区二区三区鸳鸯浴| 久久99国内精品|