?? umc18_neg.v
字號:
//$Id: bmux.genpp,v 1.3 2003/10/24 19:06:23 ron Exp $//CONFIDENTIAL AND PROPRIETARY SOFTWARE/DATA OF ARTISAN COMPONENTS, INC.////Copyright (c) 2003 Artisan Components, Inc. All Rights Reserved.////Use of this Software/Data is subject to the terms and conditions of//the applicable license agreement between Artisan Components, Inc. and//UMC. In addition, this Software/Data//is protected by copyright law and international treaties.////The copyright notice(s) in this Software/Data does not indicate actual//or intended publication of this Software/Data.`timescale 1ns/10ps`celldefinemodule BENCX1 (S, A, X2, M2, M1, M0);output S, A, X2;input M2, M1, M0; not I0 (m1n, M1); not I1 (m0n, M0); or I3 (m1n_or_m0n, m1n, m0n); nand I4 (S, M2, m1n_or_m0n); or I5 (m1_or_m0, M1, M0); nand I6 (A, m2n, m1_or_m0); xor I7 (x2n, M1, M0); not I8 (X2, x2n); not I9 (m2n, M2); specify // delay parameters specparam tplh$M2$S = 1.0, tphl$M2$S = 1.0, tplh$M1$S = 1.0, tphl$M1$S = 1.0, tplh$M0$S = 1.0, tphl$M0$S = 1.0, tplh$M2$A = 1.0, tphl$M2$A = 1.0, tplh$M1$A = 1.0, tphl$M1$A = 1.0, tplh$M0$A = 1.0, tphl$M0$A = 1.0, tplh$M2$X2 = 1.0, tphl$M2$X2 = 1.0, tplh$M1$X2 = 1.0, tphl$M1$X2 = 1.0, tplh$M0$X2 = 1.0, tphl$M0$X2 = 1.0; // path delays if (M0== 1'b1) (M1 *> X2) = (tplh$M1$X2, tphl$M1$X2); if (M0== 1'b0) (M1 *> X2) = (tplh$M1$X2, tphl$M1$X2); if (M1== 1'b1) (M0 *> X2) = (tplh$M0$X2, tphl$M0$X2); if (M1== 1'b0) (M0 *> X2) = (tplh$M0$X2, tphl$M0$X2); (M0 *> S) = (tplh$M0$S, tphl$M0$S); (M1 *> S) = (tplh$M1$S, tphl$M1$S); (M2 *> S) = (tplh$M2$S, tphl$M2$S); (M0 *> A) = (tplh$M0$A, tphl$M0$A); (M1 *> A) = (tplh$M1$A, tphl$M1$A); (M2 *> A) = (tplh$M2$A, tphl$M2$A); endspecifyendmodule // BENCX1`endcelldefine//$Id: bmux.genpp,v 1.3 2003/10/24 19:06:23 ron Exp $//CONFIDENTIAL AND PROPRIETARY SOFTWARE/DATA OF ARTISAN COMPONENTS, INC.////Copyright (c) 2003 Artisan Components, Inc. All Rights Reserved.////Use of this Software/Data is subject to the terms and conditions of//the applicable license agreement between Artisan Components, Inc. and//UMC. In addition, this Software/Data//is protected by copyright law and international treaties.////The copyright notice(s) in this Software/Data does not indicate actual//or intended publication of this Software/Data.`timescale 1ns/10ps`celldefinemodule BENCX2 (S, A, X2, M2, M1, M0);output S, A, X2;input M2, M1, M0; not I0 (m1n, M1); not I1 (m0n, M0); or I3 (m1n_or_m0n, m1n, m0n); nand I4 (S, M2, m1n_or_m0n); or I5 (m1_or_m0, M1, M0); nand I6 (A, m2n, m1_or_m0); xor I7 (x2n, M1, M0); not I8 (X2, x2n); not I9 (m2n, M2); specify // delay parameters specparam tplh$M2$S = 1.0, tphl$M2$S = 1.0, tplh$M1$S = 1.0, tphl$M1$S = 1.0, tplh$M0$S = 1.0, tphl$M0$S = 1.0, tplh$M2$A = 1.0, tphl$M2$A = 1.0, tplh$M1$A = 1.0, tphl$M1$A = 1.0, tplh$M0$A = 1.0, tphl$M0$A = 1.0, tplh$M2$X2 = 1.0, tphl$M2$X2 = 1.0, tplh$M1$X2 = 1.0, tphl$M1$X2 = 1.0, tplh$M0$X2 = 1.0, tphl$M0$X2 = 1.0; // path delays if (M0== 1'b1) (M1 *> X2) = (tplh$M1$X2, tphl$M1$X2); if (M0== 1'b0) (M1 *> X2) = (tplh$M1$X2, tphl$M1$X2); if (M1== 1'b1) (M0 *> X2) = (tplh$M0$X2, tphl$M0$X2); if (M1== 1'b0) (M0 *> X2) = (tplh$M0$X2, tphl$M0$X2); (M0 *> S) = (tplh$M0$S, tphl$M0$S); (M1 *> S) = (tplh$M1$S, tphl$M1$S); (M2 *> S) = (tplh$M2$S, tphl$M2$S); (M0 *> A) = (tplh$M0$A, tphl$M0$A); (M1 *> A) = (tplh$M1$A, tphl$M1$A); (M2 *> A) = (tplh$M2$A, tphl$M2$A); endspecifyendmodule // BENCX2`endcelldefine//$Id: bmux.genpp,v 1.3 2003/10/24 19:06:23 ron Exp $//CONFIDENTIAL AND PROPRIETARY SOFTWARE/DATA OF ARTISAN COMPONENTS, INC.////Copyright (c) 2003 Artisan Components, Inc. All Rights Reserved.////Use of this Software/Data is subject to the terms and conditions of//the applicable license agreement between Artisan Components, Inc. and//UMC. In addition, this Software/Data//is protected by copyright law and international treaties.////The copyright notice(s) in this Software/Data does not indicate actual//or intended publication of this Software/Data.`timescale 1ns/10ps`celldefinemodule BENCX4 (S, A, X2, M2, M1, M0);output S, A, X2;input M2, M1, M0; not I0 (m1n, M1); not I1 (m0n, M0); or I3 (m1n_or_m0n, m1n, m0n); nand I4 (S, M2, m1n_or_m0n); or I5 (m1_or_m0, M1, M0); nand I6 (A, m2n, m1_or_m0); xor I7 (x2n, M1, M0); not I8 (X2, x2n); not I9 (m2n, M2); specify // delay parameters specparam tplh$M2$S = 1.0, tphl$M2$S = 1.0, tplh$M1$S = 1.0, tphl$M1$S = 1.0, tplh$M0$S = 1.0, tphl$M0$S = 1.0, tplh$M2$A = 1.0, tphl$M2$A = 1.0, tplh$M1$A = 1.0, tphl$M1$A = 1.0, tplh$M0$A = 1.0, tphl$M0$A = 1.0, tplh$M2$X2 = 1.0, tphl$M2$X2 = 1.0, tplh$M1$X2 = 1.0, tphl$M1$X2 = 1.0, tplh$M0$X2 = 1.0, tphl$M0$X2 = 1.0; // path delays if (M0== 1'b1) (M1 *> X2) = (tplh$M1$X2, tphl$M1$X2); if (M0== 1'b0) (M1 *> X2) = (tplh$M1$X2, tphl$M1$X2); if (M1== 1'b1) (M0 *> X2) = (tplh$M0$X2, tphl$M0$X2); if (M1== 1'b0) (M0 *> X2) = (tplh$M0$X2, tphl$M0$X2); (M0 *> S) = (tplh$M0$S, tphl$M0$S); (M1 *> S) = (tplh$M1$S, tphl$M1$S); (M2 *> S) = (tplh$M2$S, tphl$M2$S); (M0 *> A) = (tplh$M0$A, tphl$M0$A); (M1 *> A) = (tplh$M1$A, tphl$M1$A); (M2 *> A) = (tplh$M2$A, tphl$M2$A); endspecifyendmodule // BENCX4`endcelldefine//$Id: bmux.genpp,v 1.3 2003/10/24 19:06:23 ron Exp $//CONFIDENTIAL AND PROPRIETARY SOFTWARE/DATA OF ARTISAN COMPONENTS, INC.////Copyright (c) 2003 Artisan Components, Inc. All Rights Reserved.////Use of this Software/Data is subject to the terms and conditions of//the applicable license agreement between Artisan Components, Inc. and//UMC. In addition, this Software/Data//is protected by copyright law and international treaties.////The copyright notice(s) in this Software/Data does not indicate actual//or intended publication of this Software/Data.`timescale 1ns/10ps`celldefinemodule BMXX1 (PP, X2, A, S, M1, M0);output PP;input X2, A, S, M1, M0; udp_bmx I0 (PP, X2, A, S, M1, M0); specify // delay parameters specparam tplh$X2$PP = 1.0, tphl$X2$PP = 1.0, tplh$A$PP = 1.0, tphl$A$PP = 1.0, tplh$S$PP = 1.0, tphl$S$PP = 1.0, tplh$M1$PP = 1.0, tphl$M1$PP = 1.0, tplh$M0$PP = 1.0, tphl$M0$PP = 1.0; // path delays if (X2 == 1'b1 && M0 == 1'b1) (A *> PP) = (tplh$A$PP, tphl$A$PP); if (X2 == 1'b0 && M1 == 1'b1) (A *> PP) = (tplh$A$PP, tphl$A$PP); if (X2 == 1'b1 && M0 == 1'b0) (S *> PP) = (tplh$S$PP, tphl$S$PP); if (X2 == 1'b0 && M1 == 1'b0) (S *> PP) = (tplh$S$PP, tphl$S$PP); if (X2 == 1'b0 && A == 1'b1 && S == 1'b0) (M1 *> PP) = (tplh$M1$PP, tphl$M1$PP); if (X2 == 1'b0 && A == 1'b0 && S == 1'b1) (M1 *> PP) = (tplh$M1$PP, tphl$M1$PP); if (X2 == 1'b1 && A == 1'b1 && S == 1'b0) (M0 *> PP) = (tplh$M0$PP, tphl$M0$PP); if (X2 == 1'b1 && A == 1'b0 && S == 1'b1) (M0 *> PP) = (tplh$M0$PP, tphl$M0$PP); if ((M0 == 1'b1 && A == 1'b1 && M1 == 1'b0 && S == 1'b0) || (M0 == 1'b0 && S == 1'b1 && M1 == 1'b1 && A == 1'b0)) (X2 *> PP) = (tplh$X2$PP, tphl$X2$PP); if ((M0 == 1'b1 && A == 1'b0 && M1 == 1'b0 && S == 1'b1) || (M0 == 1'b0 && S == 1'b0 && M1 == 1'b1 && A == 1'b1)) (X2 *> PP) = (tplh$X2$PP, tphl$X2$PP); endspecifyendmodule // BMXX1`endcelldefine//$Id: add.genpp,v 1.1.1.1 2002/12/05 17:56:00 ron Exp $//CONFIDENTIAL AND PROPRIETARY SOFTWARE/DATA OF ARTISAN COMPONENTS, INC.////Copyright (c) 2003 Artisan Components, Inc. All Rights Reserved.////Use of this Software/Data is subject to the terms and conditions of//the applicable license agreement between Artisan Components, Inc. and//UMC. In addition, this Software/Data//is protected by copyright law and international treaties.////The copyright notice(s) in this Software/Data does not indicate actual//or intended publication of this Software/Data.`timescale 1ns/1ps`celldefinemodule CMPR22X1 ( S, CO, A, B);output S, CO;input A, B; xor I0(S, A, B); and I1(CO, A, B); specify specparam tplh$A$S = 1.0, tphl$A$S = 1.0, tplh$A$CO = 1.0, tphl$A$CO = 1.0, tplh$B$S = 1.0, tphl$B$S = 1.0, tplh$B$CO = 1.0, tphl$B$CO = 1.0; if (B == 1'b1) (A *> S) = (tplh$A$S, tphl$A$S); if (B == 1'b0) (A *> S) = (tplh$A$S, tphl$A$S); if (A == 1'b1) (B *> S) = (tplh$B$S, tphl$B$S); if (A == 1'b0) (B *> S) = (tplh$B$S, tphl$B$S); (A *> CO) = (tplh$A$CO, tphl$A$CO); (B *> CO) = (tplh$B$CO, tphl$B$CO); endspecifyendmodule // CMPR22X1`endcelldefine//$Id: cmpr.genpp,v 1.2 2003/02/04 19:26:52 ron Exp $//CONFIDENTIAL AND PROPRIETARY SOFTWARE/DATA OF ARTISAN COMPONENTS, INC.////Copyright (c) 2003 Artisan Components, Inc. All Rights Reserved.////Use of this Software/Data is subject to the terms and conditions of//the applicable license agreement between Artisan Components, Inc. and//UMC. In addition, this Software/Data//is protected by copyright law and international treaties.////The copyright notice(s) in this Software/Data does not indicate actual//or intended publication of this Software/Data.`timescale 1ns/10ps`celldefinemodule CMPR32X1 (S, CO, A, B, C);output S, CO;input A, B, C; xor I0 (t1, A, B); xor I1 (S, t1, C); and I2 (t2, A, B); and I3 (t3, A, C); and I4 (t4, B, C); or I5 (CO, t2, t3, t4); specify // delay parameters specparam tplh$A$S = 1.0, tphl$A$S = 1.0, tplh$B$S = 1.0, tphl$B$S = 1.0, tplh$C$S = 1.0, tphl$C$S = 1.0, tplh$A$CO = 1.0, tphl$A$CO = 1.0, tplh$B$CO = 1.0, tphl$B$CO = 1.0, tplh$C$CO = 1.0, tphl$C$CO = 1.0; // path delays if (B == 1'b0 && C == 1'b0) (A *> S) = (tplh$A$S, tphl$A$S); if (B == 1'b1 && C == 1'b1) (A *> S) = (tplh$A$S, tphl$A$S); if (B == 1'b0 && C == 1'b1) (A *> S) = (tplh$A$S, tphl$A$S); if (B == 1'b1 && C == 1'b0) (A *> S) = (tplh$A$S, tphl$A$S); if (A == 1'b0 && C == 1'b0) (B *> S) = (tplh$B$S, tphl$B$S); if (A == 1'b1 && C == 1'b1) (B *> S) = (tplh$B$S, tphl$B$S); if (A == 1'b0 && C == 1'b1) (B *> S) = (tplh$B$S, tphl$B$S); if (A == 1'b1 && C == 1'b0) (B *> S) = (tplh$B$S, tphl$B$S); if ((A == 1'b0 && B == 1'b0) || (A == 1'b1 && B == 1'b1)) (C *> S) = (tplh$C$S, tphl$C$S); if ((A == 1'b0 && B == 1'b1) || (A == 1'b1 && B == 1'b0)) (C *> S) = (tplh$C$S, tphl$C$S); if (B == 1'b1) (A *> CO) = (tplh$A$CO, tphl$A$CO); if (B == 1'b0) (A *> CO) = (tplh$A$CO, tphl$A$CO); if (A == 1'b1) (B *> CO) = (tplh$B$CO, tphl$B$CO); if (A == 1'b0) (B *> CO) = (tplh$B$CO, tphl$B$CO); (C *> CO) = (tplh$C$CO, tphl$C$CO); endspecifyendmodule // CMPR32X1`endcelldefine//$Id: cmpr.genpp,v 1.2 2003/02/04 19:26:52 ron Exp $//CONFIDENTIAL AND PROPRIETARY SOFTWARE/DATA OF ARTISAN COMPONENTS, INC.////Copyright (c) 2003 Artisan Components, Inc. All Rights Reserved.////Use of this Software/Data is subject to the terms and conditions of//the applicable license agreement between Artisan Components, Inc. and//UMC. In addition, this Software/Data//is protected by copyright law and international treaties.////The copyright notice(s) in this Software/Data does not indicate actual//or intended publication of this Software/Data.`timescale 1ns/10ps`celldefinemodule CMPR42X1 (S, CO, ICO, A, B, C, D, ICI);output S, CO, ICO;input A, B, C, D, ICI; xor I0 (t1, A, B); xor I1 (IS, t1, C); and I2 (t2, A, B); and I3 (t3, A, C); and I4 (t4, B, C); or I5 (ICO, t2, t3, t4); xor I6 (ss, IS, D); xor I7 (S, ss, ICI); and I8 (t5, IS, D); and I9 (t6, IS, ICI); and I10 (t7, D, ICI);
?? 快捷鍵說明
復制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號
Ctrl + =
減小字號
Ctrl + -