亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關于我們
? 蟲蟲下載站

?? txmitt.vhd

?? 個人原創
?? VHD
字號:
-- --------------------------------------------------------------------
-- >>>>>>>>>>>>>>>>>>>>>>>>> COPYRIGHT NOTICE <<<<<<<<<<<<<<<<<<<<<<<<<
-- --------------------------------------------------------------------
-- Copyright (c) 2001 by Lattice Semiconductor Corporation
-- --------------------------------------------------------------------
--
-- Permission:
--
--   Lattice Semiconductor grants permission to use this code for use
--   in synthesis for any Lattice programmable logic product.  Other
--   use of this code, including the selling or duplication of any
--   portion is strictly prohibited.
--
-- Disclaimer:
--
--   This VHDL or Verilog source code is intended as a design reference
--   which illustrates how these types of functions can be implemented.
--   It is the user's responsibility to verify their design for
--   consistency and functionality through the use of formal
--   verification methods.  Lattice Semiconductor provides no warranty
--   regarding the use or functionality of this code.
--
-- --------------------------------------------------------------------
--           
--                     Lattice Semiconductor Corporation
--                     5555 NE Moore Court
--                     Hillsboro, OR 97214
--                     U.S.A
--
--                     TEL: 1-800-Lattice (USA and Canada)
--                          408-826-6000 (other locations)
--
--                     web: http://www.latticesemi.com/
--                     email: techsupport@latticesemi.com
--
-- --------------------------------------------------------------------
--
--  Project:           Universal Asynchronous Receiver Transmitter
--  File:              txmitt.vhd
--  Title:             txmitt
--  Design Library:    IEEE
--  Dependencies:      IEEE.std_logic_1164.all
--                     IEEE.std_logic_unsigned.all
--  Description:       VHDL file for the UART Transmitter Module
--
--    <Global reset and clock>
--      Reset       : Master reset
--      Clk16X      : UART internal clock
--
--    <Register>
--      THR         : Transmitter Holding Register
--
--    <Rising edge of THR write strobe>
--      ThrWRn_re   : one Clk16X width pulse indicating rising edge of ThrWRn_r
--
--    <Transmitter output>
--      SOUT        : Transmitter serial output
--
--    <Transmitter control>
--      Databits    : "00"=5-bit, "01"=6-bit, "10"=7-bit, "11"=8-bit
--      Stopbits    : "00"=1-bit, "01"=1.5-bit(5-bit data),
--                    "10"=2-bit(6,7,8-bit data)
--      ParityEnable: '0'=Parity Bit Enable, '1'=Parity Bit Disable
--      ParityEven  : '0'=Even Parity Selected, '1'=Odd Parity Selected
--      ParityStick : '0'=Stick Parity Disable, '1'=Stick Parity Enable
--      TxBreak     : '0'=Disable BREAK assertion, '1'=Assert BREAK
--
--    <Transmitter status>
--      THRE        : THR is empty
--      TEMT        : Both THR and TSR are empty
--
-- --------------------------------------------------------------------
--
-- Revision History :
-- --------------------------------------------------------------------
--   Ver  :| Author            :| Mod. Date :| Changes Made:
--   V1.1 :| J.H.              :| 06/19/01  :| Support ispMACH 5000VG
--   V1.0 :| D.W. & J.H.       :| 06/01/01  :| First Release
-- --------------------------------------------------------------------

library IEEE;
use IEEE.Std_Logic_1164.all;
use IEEE.Std_Logic_Unsigned.all;

entity Txmitt is
  port (
    -- Global reset and clock
    Reset       : in  std_logic; -- Master reset
    Clk16X      : in  std_logic; -- UART internal clock
    -- Register THR
    THR         : in  std_logic_vector(7 downto 0); -- Transmitter Holding Reg
    -- Rising edge of THR write strobe
    ThrWRn_re   : in  std_logic;   -- pulse indicating rising of ThrWRn_r
    -- Transmitter output
    SOUT        : out std_logic;
    -- Transmitter control
    DataBits    : in  std_logic_vector(1 downto 0);
    StopBits    : in  std_logic_vector(1 downto 0);
    ParityEnable: in  std_logic;
    ParityEven  : in  std_logic;
    ParityStick : in  std_logic;
    TxBreak     : in  std_logic;
    -- Transmitter status
    THRE        : out std_logic;
    TEMT        : out std_logic
  );
end Txmitt;

architecture Txmitt_a of Txmitt is

  signal TxOutput     : std_logic;
  signal TSR          : std_logic_vector(7 downto 0);
  signal TxParity_r   : std_logic;
  signal ThrEmpty     : std_logic;
  signal TsrEmpty     : std_logic;

  signal TxInStartState  : std_logic;
  signal TxInShiftState  : std_logic;
  signal TxInStopState   : std_logic;

  signal TxInStartState1 : std_logic; -- TxInStartState delayed 1 Clk16X clock
  signal TxInShiftState1 : std_logic; -- TxInShiftState delayed 1 Clk16X clock
  signal TxInStopState1  : std_logic; -- TxInStopState delayed 1 Clk16X clock

  -- Transmitter Clock Enable Signals
  signal TxClkEnA     : std_logic;
  signal TxClkEnB     : std_logic;

  signal TxCNT_r  : std_logic_vector(2 downto 0);
  signal Count_vr : std_logic_vector(3 downto 0);

  -- State Machine Definition
  type state_typ is (start, shift, parity, stop_1bit, stop_2bit, stop_halfbit);
  signal Tx_State     : state_typ;

  -- Attributes for ispMACH5000VG to get higher performance
  --   These can be removed when the UART design is targeted to other devices.
  ATTRIBUTE SYN_KEEP : integer;
  ATTRIBUTE SYN_KEEP OF TxOutput, TxCNT_r, TSR, TxParity_r : SIGNAL IS 1;
  ATTRIBUTE SYN_KEEP OF TsrEmpty, ThrEmpty : SIGNAL IS 1;
  ATTRIBUTE OPT : string;
  ATTRIBUTE OPT OF TxOutput, TxCNT_r, TSR, TxParity_r : SIGNAL IS "KEEP";
  ATTRIBUTE OPT OF TsrEmpty, ThrEmpty : SIGNAL IS "KEEP";

begin

--------------------------------------------------------------------------------
-- Transmitter Finite State Machine
--------------------------------------------------------------------------------

  Shift_Data_Proc: process(Reset, CLK16X)
  begin
    if (Reset='1') then
      TxCNT_r <= (others=>'0');
      TSR <= (others=>'0');
      TxOutput <= '1';
      TxParity_r <= '1';
      Tx_State <= start;
    elsif rising_edge(Clk16X) then
      case Tx_State is
        when start =>
          if (ThrEmpty='0') and (TxClkEnA='1') then
            -- Load data from THR to TSR
            TSR <= THR;
            -- TxParity_r initialization:
            --   set it when odd parity is selected(ParityEven='0')
            --   clear it when even parity is selected(ParityEven='1')
            TxParity_r <= not ParityEven;
            TxOutput <= '0'; -- start bit='0'
            TxCNT_r <= (others=>'0');
            Tx_State <= shift;
          else
            TxOutput <= '1';
          end if;
        when shift =>
          if (TxClkEnA='1') then
            -- Shift serial data out
            TSR <= '0' & TSR(7 downto 1);
            TxParity_r <= TxParity_r xor TSR(0);
            TxOutput <= TSR(0); -- output Data bits
            TxCNT_r <= TxCNT_r + 1;
            if ((Databits="00" and TxCNT_r=4) or
                (Databits="01" and TxCNT_r=5) or
                (Databits="10" and TxCNT_r=6) or
                (Databits="11" and TxCNT_r=7)) then
              if (ParityEnable='0') then
                Tx_State <= stop_1bit;
              else
                Tx_State <= parity;
              end if;
            end if;
          end if;
        when parity =>
          if (TxClkEnA='1') then
            -- Output 1 parity bit
            if (ParityStick='0') then
              TxOutput <= TxParity_r; -- output Parity bit
            else
              TxOutput <= not ParityEven; -- forced parity bit
            end if;
            Tx_State <= stop_1bit;
          end if;
        when stop_1bit =>
          if (TxClkEnA='1') then
            -- Output 1 bit of StopBits
            TxOutput <= '1';
            if (StopBits="00") then -- 1 stop bit
              Tx_State <= start;
            elsif (StopBits="01") then -- 1.5 stop bits(for 5-bit data only)
              Tx_State <= stop_halfbit;
            else -- 2 stop bits(for 6,7,8-bit data)
              Tx_State <= stop_2bit;
            end if;
          end if;
        when stop_2bit =>
          if (TxClkEnA='1') then
            -- Output 2nd bit of 2 Stopbits
            TxOutput <= '1';
            Tx_State <= start;
          end if;
        when stop_halfbit =>
          if (TxClkEnB='1') then -- half bit
            TxOutput <= '1';
            Tx_State <= start;
          end if;
        when others =>
          Tx_State <= Start;
      end case;
    end if;
  end process Shift_Data_Proc;

--------------------------------------------------------------------------------
-- Generate TsrEmpty and ThrEmpty signals
--------------------------------------------------------------------------------

  -- TsrEmpty : will be set whenever TSR is empty
  TsrEmpty_Proc: process(Clk16X, Reset)
  begin
    if (Reset='1') then
      TsrEmpty <= '1';
    elsif rising_edge(Clk16X) then
      if (TxInStopState='1') and (TxInStopState1='0') then
        -- Set TsrEmpty flag to '1' when StopBit(s) is transmitted
        TsrEmpty <= '1';
      elsif (TxInShiftState='1') and (TxInShiftState1='0') then
        -- Reset TsrEmpty flag to '0' when data is transferred from THR to TSR
        TsrEmpty <= '0';
      end if;
    end if;
  end process TsrEmpty_Proc;

  -- ThrEmpty : will be set whenever THR is empty
  ThrEmpty_Proc: process(Clk16X, Reset)
  begin
    if (Reset='1') then
      ThrEmpty <= '1';
    elsif rising_edge(Clk16X) then
      if (ThrWRn_re='1') then
        -- Reset ThrEmpty flag to '0' when data is written into THR by CPU
        ThrEmpty <= '0';
      elsif (TxInShiftState='1') and (TxInShiftState1='0') then
        -- Set ThrEmpty flag to '1' when data is transferred from THR to TSR
        -- (this occurs when signal TxInShiftState is changed from '0' to '1')
        ThrEmpty <= '1';
      end if;
    end if;
  end process ThrEmpty_Proc;

--------------------------------------------------------------------------------
-- Delayed signals for edge detections
--------------------------------------------------------------------------------
  Delay_Signals_Proc: process(Clk16X, Reset)
  begin
    if (Reset='1') then
      TxInStartState1 <= '1';
      TxInShiftState1 <= '1';
      TxInStopState1 <= '1';
    elsif rising_edge(Clk16X) then
      -- Signal for rising edge detection of signal TxInStartState
      TxInStartState1 <= TxInStartState;
      -- Signal for rising edge detection of signal TxInShiftState
      TxInShiftState1 <= TxInShiftState;
      -- Signal for rising edge detection of signal TxInStopState
      TxInStopState1 <= TxInStopState;
    end if;
  end process Delay_Signals_Proc;

--------------------------------------------------------------------------------
-- Transmitter FSM state indication signals
--------------------------------------------------------------------------------

  -- TxInShiftState : will be set whenever transmitter is in shift state
  TxInShiftState_Proc: process(Clk16X, Reset)
  begin
    if (Reset='1') then
      TxInShiftState <= '0';
    elsif rising_edge(Clk16X) then
      if (Tx_State=shift) then
        TxInShiftState <= '1';
      else
        TxInShiftState <= '0';
      end if;
    end if;
  end process TxInShiftState_Proc;

  -- TxInStopState : will be set whenever transmitter is in stop_1bit state
  TxInStopState_Proc: process(Clk16X, Reset)
  begin
    if (Reset='1') then
      TxInStopState <= '0';
    elsif rising_edge(Clk16X) then
      if (Tx_State=stop_1bit) then
        TxInStopState <= '1';
      else
        TxInStopState <= '0';
      end if;
    end if;
  end process TxInStopState_Proc;

  -- TxInStartState : will be set whenever transmitter is in start state
  TxInStartState_Proc: process(Clk16X, Reset)
  begin
    if (Reset='1') then
      TxInStartState <= '0';
    elsif rising_edge(Clk16X) then
      if (Tx_State=start) then
        TxInStartState <= '1';
      else
        TxInStartState <= '0';
      end if;
    end if;
  end process TxInStartState_Proc;
  
--------------------------------------------------------------------------------
-- Generate TxClkEnA/TxClkEnB signals
--------------------------------------------------------------------------------

  -- Transmitter operates at 1/16th of the input clock frequency.
  -- TxClkEnA, TxClkEnB are clock enable signals used in transmitter FSM
  TxCLK_Proc: process(Reset, Clk16X)
  begin
    if (Reset='1') then
      Count_vr <= (others => '1');
      TxClkEnA <= '0';
      TxClkEnB <= '0';
    elsif rising_edge(Clk16X) then

      if (Count_vr="0000") then
         TxClkEnA <= '1';
      else
         TxClkEnA <= '0';
      end if;
      
      -- TxClkEnB will be used only for 1.5 stop bit generation
      if (Count_vr="1000") then
         TxClkEnB <= '1';
      else
         TxClkEnB <= '0';
      end if;
      
      if (TxInStartState='1') and (TxInStartState1='0') then
         Count_vr <= "0011";  -- Offset to the 2 cycle's delay
      else
         Count_vr <= Count_vr + 1;
      end if;

    end if;
  end process TxCLK_Proc;

--------------------------------------------------------------------------------
-- Generate THRE/TEMT flags
--------------------------------------------------------------------------------

  -- Transmitter Holding Register Empty Indicator
  THRE <= ThrEmpty;

  -- Transmitter Empty Indicator is set to '1' whenever THR and TSR are
  -- both empty, and reset to '0' when either THR or TSR contain a character
  TEMT <= '1' when (ThrEmpty='1') and (TsrEmpty='1') else '0';

--------------------------------------------------------------------------------
-- Serial Data Output
--------------------------------------------------------------------------------

  -- If Break Control bit is set to 1, the serial output is forced to Zero
  SOUT <= '0' when (TxBreak='1') else TxOutput;

end Txmitt_a;

?? 快捷鍵說明

復制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
色婷婷激情综合| 欧美美女一区二区在线观看| 亚洲国产wwwccc36天堂| 2024国产精品| 在线观看成人免费视频| 国产一区二区免费看| 亚洲丶国产丶欧美一区二区三区| 久久久久久免费毛片精品| 欧美日韩电影一区| 91在线观看污| 国产高清不卡二三区| 蜜芽一区二区三区| 亚洲综合色成人| 国产精品国产自产拍高清av| 日韩一区二区在线观看| 欧美日韩一区二区在线观看视频 | 91麻豆123| 国产精品一区二区x88av| 首页亚洲欧美制服丝腿| 一区二区三区在线视频观看| 中文子幕无线码一区tr| 亚洲精品一区二区三区影院| 91.xcao| 日本道精品一区二区三区| 成人黄色一级视频| 风间由美一区二区av101| 国产美女久久久久| 国产在线一区二区| 韩国视频一区二区| 久久99精品国产麻豆婷婷| 日韩影院在线观看| 日产精品久久久久久久性色| 亚洲bt欧美bt精品| 亚洲h在线观看| 亚洲无人区一区| 亚洲中国最大av网站| 亚洲人成精品久久久久久| 成人欧美一区二区三区| 国产精品欧美久久久久无广告| 国产日韩欧美不卡| 欧美激情一区不卡| 国产精品精品国产色婷婷| 最新日韩在线视频| 中文字幕欧美一区| 亚洲欧美日韩国产综合| 亚洲激情av在线| 亚洲国产成人91porn| 亚洲成av人片一区二区三区| 午夜精品福利久久久| 日本伊人精品一区二区三区观看方式| 午夜精品久久久久| 久久精品国产网站| 国产mv日韩mv欧美| 91在线无精精品入口| 日本久久一区二区三区| 欧美日韩免费一区二区三区视频| 欧美性色黄大片手机版| 91精品欧美一区二区三区综合在| 日韩一区二区不卡| 国产亚洲精品aa午夜观看| 国产精品白丝在线| 五月开心婷婷久久| 狠狠色狠狠色合久久伊人| 粉嫩嫩av羞羞动漫久久久| 99re8在线精品视频免费播放| 日本高清不卡aⅴ免费网站| 在线电影一区二区三区| 久久综合久久鬼色中文字| 国产精品少妇自拍| 亚洲国产日韩精品| 精品制服美女丁香| 91蜜桃网址入口| 日韩午夜电影av| 国产免费观看久久| 亚洲第一电影网| 国产精品996| 欧美在线免费观看亚洲| 日韩精品在线看片z| 成人欧美一区二区三区小说| 日本v片在线高清不卡在线观看| 国产精品一区一区三区| 91极品美女在线| 精品国产乱子伦一区| 亚洲欧美日韩在线| 久久国产精品第一页| 99视频一区二区| 91精品国产综合久久福利| 中文字幕精品—区二区四季| 午夜精品久久久久久久99樱桃| 国产精品亚洲视频| 欧美亚一区二区| 国产欧美精品一区二区色综合朱莉 | 国产精品每日更新| 日韩国产欧美在线观看| 99视频在线精品| 欧美大片国产精品| 亚洲国产一区在线观看| 成人精品视频一区| 欧美va亚洲va在线观看蝴蝶网| 亚洲精品国产精华液| 国产经典欧美精品| 日韩一级完整毛片| 亚洲一区二区三区四区在线观看| 国产精品18久久久久久久久久久久 | 成人黄页毛片网站| 日韩色视频在线观看| 亚洲尤物在线视频观看| aaa亚洲精品一二三区| 日韩美一区二区三区| 偷拍一区二区三区| 91蝌蚪porny| 国产精品黄色在线观看 | 欧美色涩在线第一页| 亚洲欧美综合另类在线卡通| 狠狠网亚洲精品| 欧美一区二区在线观看| 亚洲高清免费视频| 色综合久久久久综合99| 国产精品女同一区二区三区| 韩国欧美一区二区| 欧美r级在线观看| 日本欧美韩国一区三区| 91极品视觉盛宴| 亚洲精品国产a| 91免费看视频| 日韩码欧中文字| 91网站黄www| 日韩美女视频19| 色综合天天综合给合国产| 中文字幕亚洲视频| 99re6这里只有精品视频在线观看| 中文字幕av资源一区| 懂色av一区二区三区免费看| 国产色爱av资源综合区| 国产成人自拍高清视频在线免费播放| 精品国产一区二区三区四区四| 美女视频黄频大全不卡视频在线播放 | 亚洲伊人色欲综合网| 色激情天天射综合网| 亚洲综合色噜噜狠狠| 欧美午夜宅男影院| 三级在线观看一区二区| 91精品国产欧美日韩| 久久精品二区亚洲w码| 26uuu亚洲综合色| 国产精品99久久久久久久女警 | 麻豆91精品视频| 精品人在线二区三区| 国产一区中文字幕| 亚洲国产精华液网站w| 不卡的电影网站| 亚洲一区二区五区| 91精品欧美久久久久久动漫| 老司机精品视频导航| 久久精品视频一区二区三区| 成人精品电影在线观看| 亚洲乱码精品一二三四区日韩在线| 日本道在线观看一区二区| 五月天激情综合| 久久香蕉国产线看观看99| 成人免费视频播放| 亚洲午夜精品网| 精品国产免费久久| www.色精品| 午夜久久电影网| 久久久久九九视频| 色婷婷av一区二区三区软件| 五月婷婷激情综合| 国产色爱av资源综合区| 91传媒视频在线播放| 久久精品国产网站| 成人免费在线视频| 91精品国产日韩91久久久久久| 国产福利91精品一区二区三区| 亚洲色图欧美在线| 日韩精品专区在线影院观看| 99re成人精品视频| 卡一卡二国产精品| 亚洲人午夜精品天堂一二香蕉| 欧美一区午夜视频在线观看| 成人激情午夜影院| 奇米影视在线99精品| 国产精品久久久久久久久久免费看| 欧美日韩一区二区在线视频| 国产99一区视频免费| 亚洲第一会所有码转帖| 国产日韩欧美在线一区| 欧美日韩国产小视频| 国产mv日韩mv欧美| 日本中文字幕不卡| 亚洲蜜臀av乱码久久精品| 26uuu精品一区二区在线观看| 在线观看一区不卡| 国产成人自拍在线| 蜜桃一区二区三区在线| 亚洲欧美国产高清| 国产欧美精品一区aⅴ影院| 欧美精品777| 色综合 综合色| 成人在线视频一区|