亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關于我們
? 蟲蟲下載站

?? spi_slave_model.v

?? 來自opencore網站的I2C總線模塊
?? V
字號:
/////////////////////////////////////////////////////////////////////////                                                             ////////  SPI Slave Model                                            ////////                                                             ////////                                                             ////////  Authors: Richard Herveille (richard@asics.ws) www.asics.ws ////////                                                             ////////  http://www.opencores.org/projects/simple_spi/              ////////                                                             /////////////////////////////////////////////////////////////////////////////                                                             //////// Copyright (C) 2004 Richard Herveille                        ////////                         richard@asics.ws                    ////////                                                             //////// This source file may be used and distributed without        //////// restriction provided that this copyright statement is not   //////// removed from the file and that any derivative work contains //////// the original copyright notice and the associated disclaimer.////////                                                             ////////     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     //////// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   //////// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   //////// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      //////// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         //////// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    //////// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   //////// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        //////// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  //////// LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  //////// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  //////// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         //////// POSSIBILITY OF SUCH DAMAGE.                                 ////////                                                             ///////////////////////////////////////////////////////////////////////////  CVS Log////  $Id: spi_slave_model.v,v 1.1 2004/02/28 15:32:54 rherveille Exp $////  $Date: 2004/02/28 15:32:54 $//  $Revision: 1.1 $//  $Author: rherveille $//  $Locker:  $//  $State: Exp $//// Change History://               $Log: spi_slave_model.v,v $//               Revision 1.1  2004/02/28 15:32:54  rherveille//               Added testbench//////// Requires: Verilog2001`include "timescale.v"module spi_slave_model (	input  wire csn;	input  wire sck	input  wire di;	output wire do);	//	// Variable declaration	//	wire debug = 1'b1;	wire cpol = 1'b0;	wire cpha  = 1'b0;	reg [7:0] mem [7:0]; // initiate memory	reg [2:0] mem_adr;   // memory address	reg [7:0] mem_do;    // memory data output	reg [7:0] sri, sro;  // 8bit shift register	reg [2:0] bit_cnt;	reg       ld;	wire clk;	//	// module body	//	assign clk = cpol ^ cpha ^ sck;	// generate shift registers	always @(posedge clk)	  sri <= #1 {sri[6:0],di};	always @(posedge clk)	  if (&bit_cnt)	    sro <= #1 mem[mem_adr];	  else	    sro <= #1 {sro[6:0],1'bx};	assign do = sro[7];	//generate bit-counter	always @(posedge clk, posedge csn)	  if(csn)	    bit_cnt <= #1 3'b111;	  else	    bit_cnt <= #1 bit_cnt - 3'h1;	//generate access done signal        always @(posedge clk)	  ld <= #1 ~(|bit_cnt);	always @(negedge clk)          if (ld) begin	    mem[mem_adr] <= #1 sri;	    mem_adr      <= #1 mem_adr + 1'b1;	  end	initial	begin	  bit_cnt=3'b111;	  mem_adr = 0;	  sro = mem[mem_adr];	endendmodule

?? 快捷鍵說明

復制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
久久久蜜桃精品| 精品国产精品一区二区夜夜嗨| 久久66热偷产精品| 亚洲va欧美va国产va天堂影院| 亚洲精品视频观看| 亚洲狠狠爱一区二区三区| 亚洲在线视频一区| 亚洲一二三四在线| 丝袜诱惑制服诱惑色一区在线观看 | 亚洲欧洲成人自拍| 亚洲欧美日韩中文字幕一区二区三区| 亚洲天堂av老司机| 亚洲成在线观看| 日韩国产欧美一区二区三区| 男人的j进女人的j一区| 久久精品国产久精国产| 国产伦理精品不卡| 精品国产91乱码一区二区三区| 日韩一区二区在线观看| 国产999精品久久久久久绿帽| 大美女一区二区三区| 91欧美一区二区| 欧美日本视频在线| 欧美刺激午夜性久久久久久久| 6080日韩午夜伦伦午夜伦| 日韩精品一区在线| 中文一区二区在线观看| 亚洲线精品一区二区三区 | 欧美蜜桃一区二区三区| 日韩精品一区二区三区中文精品| 久久精品视频免费| 一区二区三区四区中文字幕| 日韩av高清在线观看| 国产在线看一区| 在线一区二区三区做爰视频网站| 欧美一级搡bbbb搡bbbb| 日本一区二区三区四区在线视频| 樱桃国产成人精品视频| 国精产品一区一区三区mba桃花| 菠萝蜜视频在线观看一区| 欧洲精品在线观看| 久久精品无码一区二区三区| 亚洲一区二区三区四区在线| 国产精品一区二区视频| 欧美日韩国产另类一区| 国产精品嫩草影院com| 日韩黄色在线观看| 91蜜桃在线观看| 久久一区二区视频| 日本中文在线一区| 91视频在线观看| 国产欧美日韩精品a在线观看| 亚洲成av人片www| 99视频在线观看一区三区| 精品99一区二区| 日韩电影在线免费观看| 色老综合老女人久久久| 国产农村妇女精品| 国内久久婷婷综合| 精品国产一区久久| 免费成人小视频| 欧美男同性恋视频网站| 亚洲欧美另类综合偷拍| av色综合久久天堂av综合| 久久毛片高清国产| 久久99久久99小草精品免视看| 欧美日韩一区二区三区在线| 亚洲欧美欧美一区二区三区| 99精品热视频| 国产精品网站一区| 国产成都精品91一区二区三| 欧美大片日本大片免费观看| 青青草国产成人av片免费| 欧美日韩中文国产| 亚洲成国产人片在线观看| 在线视频国产一区| 亚洲综合一二区| 欧美性猛交xxxxxxxx| 亚洲福利一区二区| 欧美军同video69gay| 午夜av一区二区三区| 3d成人动漫网站| 久久国产尿小便嘘嘘| 久久免费精品国产久精品久久久久| 蜜臀久久久久久久| 久久久久久久久免费| 成人精品gif动图一区| 综合中文字幕亚洲| 欧美日韩精品一区二区三区四区| 亚洲国产综合在线| 日韩欧美色综合网站| 捆绑调教一区二区三区| 国产亚洲成年网址在线观看| 成人高清视频在线观看| 亚洲人午夜精品天堂一二香蕉| 色94色欧美sute亚洲线路二| 五月开心婷婷久久| 久久综合九色综合97婷婷| 粉嫩13p一区二区三区| 夜夜精品浪潮av一区二区三区| 欧美日韩精品福利| 国产精一区二区三区| 亚洲精品欧美专区| 欧美成人aa大片| 成年人国产精品| 婷婷综合在线观看| 久久久精品国产99久久精品芒果| 一本久久综合亚洲鲁鲁五月天 | 91精品欧美一区二区三区综合在| 久久99久国产精品黄毛片色诱| 久久蜜桃av一区二区天堂| 色综合久久久久综合| 日韩av中文字幕一区二区| 国产精品乱人伦一区二区| 欧美亚洲国产一区二区三区va| 九九国产精品视频| 一卡二卡欧美日韩| 国产亚洲精品aa午夜观看| 欧美乱妇15p| 99久久精品国产一区| 精品亚洲国内自在自线福利| 成人免费视频在线观看| 欧美精品一区二区三区蜜臀| 91麻豆国产香蕉久久精品| 国产剧情一区在线| 日本不卡免费在线视频| 亚洲黄一区二区三区| 国产亲近乱来精品视频| 欧美精品在线一区二区| 99re亚洲国产精品| 国产精品一色哟哟哟| 三级不卡在线观看| 亚洲免费观看高清完整版在线观看 | 久久一夜天堂av一区二区三区 | 精品少妇一区二区| 欧美日本在线视频| 欧美色图在线观看| 一本一本大道香蕉久在线精品| 国产成人精品午夜视频免费| 免费在线观看一区| 日韩专区一卡二卡| 亚洲一区二区四区蜜桃| 亚洲三级在线观看| 亚洲欧美在线高清| 亚洲欧洲另类国产综合| 亚洲欧美影音先锋| 亚洲毛片av在线| 亚洲精品视频免费观看| 亚洲制服丝袜一区| 亚洲一区二区三区国产| 亚洲一区在线视频| 亚洲成av人综合在线观看| 一区二区三区色| 一级日本不卡的影视| 亚洲精品国产第一综合99久久| 国产精品五月天| 国产欧美va欧美不卡在线| 欧美大白屁股肥臀xxxxxx| 91精品国产全国免费观看 | 99精品欧美一区二区三区小说 | wwwwww.欧美系列| 欧美精品一区二区三区四区| 日韩一级黄色大片| 欧美高清性hdvideosex| 欧洲av在线精品| 欧美日韩在线精品一区二区三区激情| 91丨porny丨蝌蚪视频| 91理论电影在线观看| 成人av午夜影院| 欧美视频中文字幕| 欧美色图一区二区三区| 99热99精品| 色偷偷一区二区三区| 欧美另类高清zo欧美| 这里只有精品电影| 日韩午夜中文字幕| 欧美日韩一区二区三区在线看| 51午夜精品国产| 欧美va亚洲va国产综合| 2020国产精品| 亚洲激情图片小说视频| 亚洲成a天堂v人片| 蜜桃视频一区二区三区在线观看| 一区二区三区欧美日| 蜜臀久久99精品久久久久宅男| 精品在线亚洲视频| 成人小视频在线| 国内不卡的二区三区中文字幕| 国产成人免费av在线| 色综合久久中文字幕综合网| 在线观看欧美黄色| 国产女人18水真多18精品一级做 | 国产精品乱码人人做人人爱| 中文字幕的久久| 亚洲另类中文字| 日本欧美一区二区三区乱码| 成+人+亚洲+综合天堂| 欧美人牲a欧美精品| 欧美精品一区二区三区四区| 欧美激情在线观看视频免费|