亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關于我們
? 蟲蟲下載站

?? idea_en_top.vhd

?? IDEA加密運算模塊
?? VHD
?? 第 1 頁 / 共 3 頁
字號:
----------------------------------------------------
--  
--  Library Name :  Idea_vhd_100M
--  Unit    Name :  EN_KEY_GEN
--  Unit    Type :  Block Diagram
--  
------------------------------------------------------
 library ieee;use ieee.STD_LOGIC_1164.all;use ieee.NUMERIC_STD.all;entity EN_KEY_GEN is  port (        KEY_IN : in std_logic_vector(127 downto 0 );        ZY_BUS_1 : out std_logic_vector(95 downto 0 );        ZY_BUS_2 : out std_logic_vector(95 downto 0 );        ZY_BUS_3 : out std_logic_vector(95 downto 0 );        ZY_BUS_4 : out std_logic_vector(95 downto 0 );        ZY_BUS_5 : out std_logic_vector(95 downto 0 );        ZY_BUS_6 : out std_logic_vector(95 downto 0 );        ZY_BUS_7 : out std_logic_vector(95 downto 0 );        ZY_BUS_8 : out std_logic_vector(95 downto 0 );        ZY_BUS_9 : out std_logic_vector(63 downto 0 )        );  end EN_KEY_GEN;  architecture EN_KEY_GEN of EN_KEY_GEN is   signal S10 : std_logic_vector(1 downto 0 );  signal S11 : std_logic_vector(4 downto 0 );  signal S12 : std_logic_vector(10 downto 0 );  signal S13 : std_logic_vector(11 downto 0 );  signal S14 : std_logic_vector(3 downto 0 );  signal S16 : std_logic_vector(12 downto 0 );  signal S17 : std_logic_vector(2 downto 0 );  signal S7 : std_logic_vector(8 downto 0 );  signal S8 : std_logic_vector(6 downto 0 );  signal S9 : std_logic_vector(13 downto 0 );  signal Z1 : std_logic_vector(15 downto 0 );  signal Z10 : std_logic_vector(15 downto 0 );  signal Z11 : std_logic_vector(15 downto 0 );  signal Z12 : std_logic_vector(15 downto 0 );  signal Z13 : std_logic_vector(15 downto 0 );  signal Z14 : std_logic_vector(15 downto 0 );  signal Z15 : std_logic_vector(15 downto 0 );  signal Z16 : std_logic_vector(15 downto 0 );  signal Z17 : std_logic_vector(15 downto 0 );  signal Z18 : std_logic_vector(15 downto 0 );  signal Z19 : std_logic_vector(15 downto 0 );  signal Z2 : std_logic_vector(15 downto 0 );  signal Z20 : std_logic_vector(15 downto 0 );  signal Z21 : std_logic_vector(15 downto 0 );  signal Z22 : std_logic_vector(15 downto 0 );  signal Z23 : std_logic_vector(15 downto 0 );  signal Z24 : std_logic_vector(15 downto 0 );  signal Z25 : std_logic_vector(15 downto 0 );  signal Z26 : std_logic_vector(15 downto 0 );  signal Z27 : std_logic_vector(15 downto 0 );  signal Z28 : std_logic_vector(15 downto 0 );  signal Z29 : std_logic_vector(15 downto 0 );  signal Z3 : std_logic_vector(15 downto 0 );  signal Z30 : std_logic_vector(15 downto 0 );  signal Z31 : std_logic_vector(15 downto 0 );  signal Z32 : std_logic_vector(15 downto 0 );  signal Z33 : std_logic_vector(15 downto 0 );  signal Z34 : std_logic_vector(15 downto 0 );  signal Z35 : std_logic_vector(15 downto 0 );  signal Z36 : std_logic_vector(15 downto 0 );  signal Z37 : std_logic_vector(15 downto 0 );  signal Z38 : std_logic_vector(15 downto 0 );  signal Z39 : std_logic_vector(15 downto 0 );  signal Z4 : std_logic_vector(15 downto 0 );  signal Z40 : std_logic_vector(15 downto 0 );  signal Z41 : std_logic_vector(15 downto 0 );  signal Z42 : std_logic_vector(15 downto 0 );  signal Z43 : std_logic_vector(15 downto 0 );  signal Z44 : std_logic_vector(15 downto 0 );  signal Z45 : std_logic_vector(15 downto 0 );  signal Z46 : std_logic_vector(15 downto 0 );  signal Z47 : std_logic_vector(15 downto 0 );  signal Z48 : std_logic_vector(15 downto 0 );  signal Z49 : std_logic_vector(15 downto 0 );  signal Z5 : std_logic_vector(15 downto 0 );  signal Z50 : std_logic_vector(15 downto 0 );  signal Z51 : std_logic_vector(15 downto 0 );  signal Z52 : std_logic_vector(15 downto 0 );  signal Z6 : std_logic_vector(15 downto 0 );  signal Z7 : std_logic_vector(15 downto 0 );  signal Z8 : std_logic_vector(15 downto 0 );  signal Z9 : std_logic_vector(15 downto 0 );  begin   Z8(15 downto 0) <= KEY_IN(15 downto 0);  Z7(15 downto 0) <= KEY_IN(31 downto 16);  Z6(15 downto 0) <= KEY_IN(47 downto 32);  Z5(15 downto 0) <= KEY_IN(63 downto 48);  Z4(15 downto 0) <= KEY_IN(79 downto 64);  Z3(15 downto 0) <= KEY_IN(95 downto 80);  Z2(15 downto 0) <= KEY_IN(111 downto 96);  Z1(15 downto 0) <= KEY_IN(127 downto 112);   Z16(15 downto 0) <= KEY_IN(118 downto 103);  Z13(15 downto 0) <= KEY_IN(38 downto 23);  Z12(15 downto 0) <= KEY_IN(54 downto 39);  Z11(15 downto 0) <= KEY_IN(70 downto 55);  Z14(15 downto 0) <= KEY_IN(22 downto 7);  Z10(15 downto 0) <= KEY_IN(86 downto 71);  Z9(15 downto 0) <= KEY_IN(102 downto 87);  S7(8 downto 0) <= KEY_IN(127 downto 119);  S8(6 downto 0) <= KEY_IN(6 downto 0);   Z15(15 downto 9) <= S8(6 downto 0);  Z15(8 downto 0) <= S7(8 downto 0);   Z24(15 downto 0) <= KEY_IN(93 downto 78);  Z20(15 downto 0) <= KEY_IN(29 downto 14);  Z19(15 downto 0) <= KEY_IN(45 downto 30);  Z18(15 downto 0) <= KEY_IN(61 downto 46);  Z17(15 downto 0) <= KEY_IN(77 downto 62);  Z23(15 downto 0) <= KEY_IN(109 downto 94);  Z22(15 downto 0) <= KEY_IN(125 downto 110);  S9(13 downto 0) <= KEY_IN(13 downto 0);  S10(1 downto 0) <= KEY_IN(127 downto 126);   Z21(15 downto 2) <= S9(13 downto 0);  Z21(1 downto 0) <= S10(1 downto 0);   Z29(15 downto 0) <= KEY_IN(116 downto 101);  S12(10 downto 0) <= KEY_IN(127 downto 117);  S11(4 downto 0) <= KEY_IN(4 downto 0);  Z31(15 downto 0) <= KEY_IN(84 downto 69);  Z30(15 downto 0) <= KEY_IN(100 downto 85);  Z27(15 downto 0) <= KEY_IN(20 downto 5);  Z26(15 downto 0) <= KEY_IN(36 downto 21);  Z32(15 downto 0) <= KEY_IN(68 downto 53);  Z25(15 downto 0) <= KEY_IN(52 downto 37);   Z28(15 downto 11) <= S11(4 downto 0);  Z28(10 downto 0) <= S12(10 downto 0);   Z40(15 downto 0) <= KEY_IN(43 downto 28);  Z39(15 downto 0) <= KEY_IN(59 downto 44);  Z38(15 downto 0) <= KEY_IN(75 downto 60);  Z37(15 downto 0) <= KEY_IN(91 downto 76);  Z36(15 downto 0) <= KEY_IN(107 downto 92);  Z35(15 downto 0) <= KEY_IN(123 downto 108);  S14(3 downto 0) <= KEY_IN(127 downto 124);  S13(11 downto 0) <= KEY_IN(11 downto 0);  Z33(15 downto 0) <= KEY_IN(27 downto 12);   Z34(15 downto 4) <= S13(11 downto 0);  Z34(3 downto 0) <= S14(3 downto 0);   Z48(15 downto 0) <= KEY_IN(18 downto 3);  Z47(15 downto 0) <= KEY_IN(34 downto 19);  Z46(15 downto 0) <= KEY_IN(50 downto 35);  Z45(15 downto 0) <= KEY_IN(66 downto 51);  Z44(15 downto 0) <= KEY_IN(82 downto 67);  Z43(15 downto 0) <= KEY_IN(98 downto 83);  Z42(15 downto 0) <= KEY_IN(114 downto 99);  S16(12 downto 0) <= KEY_IN(127 downto 115);  S17(2 downto 0) <= KEY_IN(2 downto 0);   Z41(15 downto 13) <= S17(2 downto 0);  Z41(12 downto 0) <= S16(12 downto 0);   Z52(15 downto 0) <= KEY_IN(57 downto 42);  Z51(15 downto 0) <= KEY_IN(73 downto 58);  Z50(15 downto 0) <= KEY_IN(89 downto 74);  Z49(15 downto 0) <= KEY_IN(105 downto 90);   ZY_BUS_1(95 downto 80) <= Z1(15 downto 0);  ZY_BUS_1(79 downto 64) <= Z2(15 downto 0);  ZY_BUS_1(63 downto 48) <= Z3(15 downto 0);  ZY_BUS_1(47 downto 32) <= Z4(15 downto 0);  ZY_BUS_1(31 downto 16) <= Z5(15 downto 0);  ZY_BUS_1(15 downto 0) <= Z6(15 downto 0);   ZY_BUS_5(95 downto 80) <= Z25(15 downto 0);  ZY_BUS_5(79 downto 64) <= Z26(15 downto 0);  ZY_BUS_5(63 downto 48) <= Z27(15 downto 0);  ZY_BUS_5(47 downto 32) <= Z28(15 downto 0);  ZY_BUS_5(31 downto 16) <= Z29(15 downto 0);  ZY_BUS_5(15 downto 0) <= Z30(15 downto 0);   ZY_BUS_2(95 downto 80) <= Z7(15 downto 0);  ZY_BUS_2(79 downto 64) <= Z8(15 downto 0);  ZY_BUS_2(63 downto 48) <= Z9(15 downto 0);  ZY_BUS_2(47 downto 32) <= Z10(15 downto 0);  ZY_BUS_2(31 downto 16) <= Z11(15 downto 0);  ZY_BUS_2(15 downto 0) <= Z12(15 downto 0);   ZY_BUS_6(95 downto 80) <= Z31(15 downto 0);  ZY_BUS_6(79 downto 64) <= Z32(15 downto 0);  ZY_BUS_6(63 downto 48) <= Z33(15 downto 0);  ZY_BUS_6(47 downto 32) <= Z34(15 downto 0);  ZY_BUS_6(31 downto 16) <= Z35(15 downto 0);  ZY_BUS_6(15 downto 0) <= Z36(15 downto 0);   ZY_BUS_3(95 downto 80) <= Z13(15 downto 0);  ZY_BUS_3(79 downto 64) <= Z14(15 downto 0);  ZY_BUS_3(63 downto 48) <= Z15(15 downto 0);  ZY_BUS_3(47 downto 32) <= Z16(15 downto 0);  ZY_BUS_3(31 downto 16) <= Z17(15 downto 0);  ZY_BUS_3(15 downto 0) <= Z18(15 downto 0);   ZY_BUS_7(95 downto 80) <= Z37(15 downto 0);  ZY_BUS_7(79 downto 64) <= Z38(15 downto 0);  ZY_BUS_7(63 downto 48) <= Z39(15 downto 0);  ZY_BUS_7(47 downto 32) <= Z40(15 downto 0);  ZY_BUS_7(31 downto 16) <= Z41(15 downto 0);  ZY_BUS_7(15 downto 0) <= Z42(15 downto 0);   ZY_BUS_4(95 downto 80) <= Z19(15 downto 0);  ZY_BUS_4(79 downto 64) <= Z20(15 downto 0);  ZY_BUS_4(63 downto 48) <= Z21(15 downto 0);  ZY_BUS_4(47 downto 32) <= Z22(15 downto 0);  ZY_BUS_4(31 downto 16) <= Z23(15 downto 0);  ZY_BUS_4(15 downto 0) <= Z24(15 downto 0);   ZY_BUS_8(95 downto 80) <= Z43(15 downto 0);  ZY_BUS_8(79 downto 64) <= Z44(15 downto 0);  ZY_BUS_8(63 downto 48) <= Z45(15 downto 0);  ZY_BUS_8(47 downto 32) <= Z46(15 downto 0);  ZY_BUS_8(31 downto 16) <= Z47(15 downto 0);  ZY_BUS_8(15 downto 0) <= Z48(15 downto 0);   ZY_BUS_9(63 downto 48) <= Z49(15 downto 0);  ZY_BUS_9(47 downto 32) <= Z50(15 downto 0);  ZY_BUS_9(31 downto 16) <= Z51(15 downto 0);  ZY_BUS_9(15 downto 0) <= Z52(15 downto 0);end EN_KEY_GEN;----------------------------------------------------
--  
--  Library Name :  Idea_vhd_100M
--  Unit    Name :  ED_64_L_CT
--  Unit    Type :  State Machine
--  
------------------------------------------------------
 library ieee;use ieee.STD_LOGIC_1164.all;use ieee.STD_LOGIC_UNSIGNED.all;use ieee.STD_LOGIC_ARITH.all;use ieee.STD_LOGIC_MISC.all;entity ED_64_L_CT is  port (        CLK : in std_logic;        RST : in std_logic;        ZY_CTRL : out std_logic_vector(3 downto 0 );        CTRL : out std_logic_vector(1 downto 0 );        OV : out std_logic;        ZO : in std_logic;        BUSY : out std_logic;        E_RUS : out std_logic        ); end ED_64_L_CT;  architecture ED_64_L_CT of ED_64_L_CT is   type visual_S0_states is (S0, S12, S14, S15, S17, S2, S3, S4, S5, S6, S7);  signal visual_S0_current : visual_S0_states;  begin     -- Synchronous process  ED_64_L_CT_S0:  process (CLK)  begin     if (CLK'event and CLK = '1') then      if (RST = '0') then        ZY_CTRL<="0000";        CTRL<="00";        OV<='0';        BUSY<='0';        E_RUS<='0';        visual_S0_current <= S0;      elsif (RST = '0') then        ZY_CTRL<="0000";        CTRL<="00";        OV<='0';        BUSY<='0';        E_RUS<='0';        visual_S0_current <= S0;      else         case visual_S0_current is          when S0 =>            if (ZO = '1') then              BUSY<='1';              ZY_CTRL<="0000";              CTRL<="00";              visual_S0_current <= S14;            else              visual_S0_current <= S0;            end if;           when S12 =>            ZY_CTRL<="0010";            visual_S0_current <= S2;           when S14 =>            ZY_CTRL<="0001";            CTRL<="01";            visual_S0_current <= S12;           when S15 =>            OV<='1';            E_RUS<='0';            visual_S0_current <= S17;           when S17 =>            ZY_CTRL<="0000";            CTRL<="00";            OV<='0';            BUSY<='0';            E_RUS<='0';            visual_S0_current <= S0;           when S2 =>            ZY_CTRL<="0011";            visual_S0_current <= S3;           when S3 =>            ZY_CTRL<="0100";            visual_S0_current <= S4;           when S4 =>            ZY_CTRL<="0101";            visual_S0_current <= S5;           when S5 =>            ZY_CTRL<="0110";            visual_S0_current <= S6;           when S6 =>            ZY_CTRL<="0111";            visual_S0_current <= S7;           when S7 =>            ZY_CTRL<="1000";            CTRL<="10";            E_RUS<='1';            visual_S0_current <= S15;           when others =>             ZY_CTRL<="0000";            CTRL<="00";            OV<='0';            BUSY<='0';            E_RUS<='0';            visual_S0_current <= S0;        end case;      end if;    end if;  end process; end ED_64_L_CT;----------------------------------------------------
--  
--  Library Name :  Idea_vhd_100M
--  Unit    Name :  MADD_16
--  Unit    Type :  Block Diagram
--  
------------------------------------------------------
 library ieee;use ieee.STD_LOGIC_1164.all;use ieee.STD_LOGIC_ARITH.all;use ieee.STD_LOGIC_UNSIGNED.all;entity MADD_16 is  port (        A : in std_logic_vector(15 downto 0 );        B : in std_logic_vector(15 downto 0 );        O : out std_logic_vector(15 downto 0 )        );  end MADD_16;  architecture MADD_16 of MADD_16 is   signal visual_C0_sum_int : std_logic_vector(16 downto 0 );  signal visual_C0_tmp_a : std_logic_vector(16 - 1 downto 0 );  signal visual_C0_tmp_b : std_logic_vector(16 - 1 downto 0 );  constant visual_C0_zero : std_logic_vector(16 - 1 downto 0 ) := (others  =>                                                  '0');  begin 

?? 快捷鍵說明

復制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
欧美日韩视频专区在线播放| av在线不卡网| 亚洲欧洲国产专区| 91精品久久久久久蜜臀| 成人免费视频一区| 美女视频网站久久| 亚洲色大成网站www久久九九| 欧美电视剧免费全集观看| 91视频在线看| 国产91清纯白嫩初高中在线观看 | 夜夜嗨av一区二区三区中文字幕| 精品国产乱码久久久久久老虎 | 精品一区二区三区在线播放视频| 亚洲精品国产a| 国产精品久久午夜| 久久精品一区二区三区av| 欧美久久婷婷综合色| 色94色欧美sute亚洲13| 国产91精品一区二区| 麻豆精品视频在线观看免费| 亚洲一区二区av电影| 91浏览器在线视频| 亚洲人成精品久久久久| 久久久久久夜精品精品免费| 91精品国产综合久久福利软件 | 91色婷婷久久久久合中文| 国产在线国偷精品免费看| 日韩国产欧美在线视频| 亚洲一区二区av在线| 亚洲综合色噜噜狠狠| 中文字幕一区二区日韩精品绯色| 国产日韩欧美麻豆| 欧美国产视频在线| 中文字幕精品在线不卡| 久久精品日韩一区二区三区| 精品剧情v国产在线观看在线| 欧美日本国产视频| 3d动漫精品啪啪| 欧美喷潮久久久xxxxx| 欧美色大人视频| 欧美精品日韩一区| 日韩欧美亚洲国产另类| 亚洲精品在线免费播放| 国产欧美日韩卡一| 国产精品久久久久久久午夜片| 欧美高清一级片在线观看| 中文字幕一区二区三区不卡在线| 亚洲欧美偷拍卡通变态| 亚洲一线二线三线视频| 日韩二区三区在线观看| 日本va欧美va精品发布| 国产一区 二区| eeuss鲁一区二区三区| 色婷婷一区二区| 欧美日韩aaa| 久久理论电影网| 中文字幕日韩av资源站| 亚洲综合偷拍欧美一区色| 手机精品视频在线观看| 激情综合一区二区三区| 国产成人aaaa| 在线观看国产日韩| 3d成人动漫网站| 日本一区二区三区高清不卡| 亚洲欧美日韩小说| 日本亚洲天堂网| 国产精一区二区三区| 色综合久久中文综合久久97| 欧美日韩国产不卡| www久久久久| 日韩理论在线观看| 国产盗摄女厕一区二区三区| 在线观看亚洲精品视频| 国产精品每日更新在线播放网址| 欧美三级电影在线看| 欧美草草影院在线视频| 中文幕一区二区三区久久蜜桃| 亚洲精品国产精华液| 日本中文字幕不卡| www.亚洲精品| 欧美一级夜夜爽| 国产精品你懂的| 日韩精品一级中文字幕精品视频免费观看 | 日本人妖一区二区| 国产成a人亚洲| 在线亚洲免费视频| 精品国产伦理网| 亚洲美女精品一区| 狠狠狠色丁香婷婷综合久久五月| 97久久精品人人爽人人爽蜜臀| 欧美精品久久一区二区三区| 久久久不卡影院| 日日欢夜夜爽一区| 91亚洲精品久久久蜜桃网站| 日韩午夜在线播放| 亚洲欧美色综合| 国产一区二区三区久久久 | 日韩视频免费观看高清完整版在线观看 | 亚洲免费观看高清完整版在线观看熊 | 欧美r级在线观看| 亚洲宅男天堂在线观看无病毒| 国产乱码精品1区2区3区| 欧美绝品在线观看成人午夜影视| 中文字幕第一区第二区| 乱一区二区av| 在线成人小视频| 一区二区三区国产| av综合在线播放| 久久麻豆一区二区| 久久99精品久久久久久动态图| 欧美男女性生活在线直播观看| 日韩毛片一二三区| 成人精品免费视频| 久久亚洲欧美国产精品乐播| 青青国产91久久久久久| 欧美日韩一区三区四区| 亚洲欧洲av一区二区三区久久| 国产乱人伦偷精品视频不卡| 欧美一区二区福利视频| 香蕉久久夜色精品国产使用方法| 91偷拍与自偷拍精品| 国产精品久久久久久户外露出| 国产麻豆成人传媒免费观看| 欧美mv日韩mv国产| 麻豆极品一区二区三区| 日韩欧美精品在线视频| 麻豆成人久久精品二区三区小说| 欧美人伦禁忌dvd放荡欲情| 亚洲午夜激情av| 欧美日韩在线亚洲一区蜜芽| 亚洲欧美色一区| 色88888久久久久久影院按摩| 国产精品国产自产拍在线| 欧美色手机在线观看| 免费成人在线视频观看| 欧美日韩国产影片| 一区二区三区四区五区视频在线观看| 成人午夜大片免费观看| 国产欧美日韩不卡免费| 国产 欧美在线| 国产免费观看久久| av在线一区二区三区| 亚洲欧美另类图片小说| 色94色欧美sute亚洲线路二| 亚洲图片自拍偷拍| 日韩欧美亚洲一区二区| 国产麻豆精品久久一二三| 国产精品色哟哟网站| 在线亚洲免费视频| 日日噜噜夜夜狠狠视频欧美人| 日韩片之四级片| 国产一区二区剧情av在线| 国产精品白丝在线| 欧美在线观看18| 日本v片在线高清不卡在线观看| 精品奇米国产一区二区三区| 国产91丝袜在线18| 亚洲影院在线观看| 欧美不卡在线视频| 99re视频这里只有精品| 亚洲成人av电影| 精品久久免费看| 不卡免费追剧大全电视剧网站| 一区二区三区四区在线播放| 日韩视频免费直播| 99久久综合狠狠综合久久| 亚洲1区2区3区4区| 欧美精品一区二区三区高清aⅴ| 夫妻av一区二区| 丝袜美腿亚洲一区| 国产欧美精品一区aⅴ影院| 91国产福利在线| 精品一区二区在线看| 亚洲天堂免费在线观看视频| 欧美精品色一区二区三区| 高清在线不卡av| 午夜亚洲国产au精品一区二区| 久久久久久99精品| 欧美日韩一二区| 国产+成+人+亚洲欧洲自线| 婷婷激情综合网| 国产精品高清亚洲| 日韩免费一区二区| 色94色欧美sute亚洲13| 国产毛片精品国产一区二区三区| 亚洲一区二区三区四区在线| 久久久久99精品一区| 欧美欧美午夜aⅴ在线观看| www.日韩在线| 久久成人羞羞网站| 亚洲一二三级电影| 国产精品久久久久影院| 日韩一二三四区| 欧美性大战久久| 国产91清纯白嫩初高中在线观看| 免费成人在线观看视频| 亚洲综合视频在线| 亚洲人妖av一区二区| 国产亚洲成av人在线观看导航| 日韩一级成人av|