亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關于我們
? 蟲蟲下載站

?? idea_de_top.vhd

?? IDEA解密運算模塊
?? VHD
?? 第 1 頁 / 共 5 頁
字號:
----------------------------------------------------
--  
--  Library Name :  Idea_vhd_100M
--  Unit    Name :  MADD16_IN
--  Unit    Type :  Block Diagram
--  
------------------------------------------------------
 library ieee;use ieee.STD_LOGIC_1164.all;use ieee.STD_LOGIC_UNSIGNED.all;use ieee.STD_LOGIC_ARITH.all;entity MADD16_IN is  port (        D : in std_logic_vector(15 downto 0 );        Q : out std_logic_vector(15 downto 0 )        );  end MADD16_IN;  architecture MADD16_IN of MADD16_IN is   signal O : std_logic_vector(15 downto 0 );  signal S2 : std_logic_vector(15 downto 0 );  signal S3 : std_logic_vector(15 downto 0 );  signal visual_C4_dif_int : std_logic_vector(16 downto 0 );  signal visual_C4_tmp_a : std_logic_vector(16 - 1 downto 0 );  signal visual_C4_tmp_b : std_logic_vector(16 - 1 downto 0 );  constant visual_C4_zero : std_logic_vector(16 - 1 downto 0 ) := (others  =>                                                  '0');  signal visual_C6_sum_int : std_logic_vector(16 downto 0 );  signal visual_C6_tmp_a : std_logic_vector(16 - 1 downto 0 );  signal visual_C6_tmp_b : std_logic_vector(16 - 1 downto 0 );  constant visual_C6_zero : std_logic_vector(16 - 1 downto 0 ) := (others  =>                                                  '0');  begin   O(15 downto 0) <= "1111111111111111";       visual_C4_tmp_a <= (O(15 downto 0));    visual_C4_tmp_b <= (D(15 downto 0));    visual_C4_dif_int <= (unsigned('0' & visual_C4_tmp_a)       - unsigned('0' & visual_C4_tmp_b));        S2(15 downto 0) <= (visual_C4_dif_int(16 - 1 downto 0));       visual_C6_tmp_a <= (S2(15 downto 0));    visual_C6_tmp_b <= (S3(15 downto 0));    visual_C6_sum_int <= (unsigned('0' & visual_C6_tmp_a)       + unsigned('0' & visual_C6_tmp_b));        Q(15 downto 0) <= (visual_C6_sum_int(16 - 1 downto 0));    S3(15 downto 0) <= "0000000000000001"; end MADD16_IN;----------------------------------------------------
--  
--  Library Name :  Idea_vhd_100M
--  Unit    Name :  ADD_17_ST
--  Unit    Type :  Block Diagram
--  
------------------------------------------------------
 library ieee;use ieee.STD_LOGIC_1164.all;use ieee.STD_LOGIC_ARITH.all;use ieee.STD_LOGIC_UNSIGNED.all;entity ADD_17_ST is  port (        A1 : in std_logic_vector(16 downto 0 );        A2 : in std_logic_vector(16 downto 0 );        CO_N1N2 : in std_logic;        OV : out std_logic;        OV1 : in std_logic;        OV2 : in std_logic;        Q : out std_logic_vector(16 downto 0 )        );  end ADD_17_ST;  architecture ADD_17_ST of ADD_17_ST is   signal CI_1 : std_logic;  signal CO_GT : std_logic;  signal CO_GT0 : std_logic;  signal CTRL_1 : std_logic;  signal CTRL_2 : std_logic_vector(2 downto 0 );  signal I1 : std_logic;  signal I2 : std_logic;  signal I3 : std_logic;  signal I4 : std_logic;  signal I5 : std_logic;  signal I6 : std_logic;  signal I7 : std_logic;  signal I8 : std_logic;  signal O1 : std_logic_vector(16 downto 0 );  signal O2 : std_logic_vector(16 downto 0 );  signal S : std_logic_vector(1 downto 0 );  signal S51 : std_logic_vector(16 downto 0 );  signal S56 : std_logic_vector(16 downto 0 );  signal visual_C0_sum_int : std_logic_vector(17 downto 0 );  signal visual_C0_tmp_a : std_logic_vector(17 - 1 downto 0 );  signal visual_C0_tmp_b : std_logic_vector(17 - 1 downto 0 );  constant visual_C0_zero : std_logic_vector(17 - 1 downto 0 ) := (others  =>                                                  '0');  signal visual_C1_dif_int : std_logic_vector(17 downto 0 );  signal visual_C1_tmp_a : std_logic_vector(17 - 1 downto 0 );  signal visual_C1_tmp_b : std_logic_vector(17 - 1 downto 0 );  constant visual_C1_zero : std_logic_vector(17 - 1 downto 0 ) := (others  =>                                                  '0');  signal visual_C24_O : std_logic;  signal visual_C36_O : std_logic_vector(17 - 1 downto 0 );  signal visual_C40_O : std_logic;  begin      visual_C0_tmp_a <= (A1(16 downto 0));    visual_C0_tmp_b <= (A2(16 downto 0));    visual_C0_sum_int <= (unsigned('0' & visual_C0_tmp_a)       + unsigned('0' & visual_C0_tmp_b));        S51(16 downto 0) <= (visual_C0_sum_int(17 - 1 downto 0));       visual_C1_tmp_a <= (A2(16 downto 0));    visual_C1_tmp_b <= (A1(16 downto 0));    visual_C1_dif_int <= (unsigned('0' & visual_C1_tmp_a)       - unsigned('0' & visual_C1_tmp_b)) - unsigned(visual_C1_zero & (CI_1));        S56(16 downto 0) <= (visual_C1_dif_int(17 - 1 downto 0));        CO_GT0 <= (visual_C1_dif_int(17));   CTRL_2(2) <= OV1;  CTRL_2(1) <= OV2;  CTRL_2(0) <= CO_GT;   OV <= (visual_C24_O);   process (I1 , I2 , I3 , I4 , I5 , I6 , I7 , I8 , CTRL_2)   begin     case CTRL_2(2 downto 0) is       when "000" =>         visual_C24_O <=  I1;       when "001" =>         visual_C24_O <=  I2;       when "010" =>         visual_C24_O <=  I3;       when "011" =>         visual_C24_O <=  I4;       when "100" =>         visual_C24_O <=  I5;       when "101" =>         visual_C24_O <=  I6;       when "110" =>         visual_C24_O <=  I7;       when others =>         visual_C24_O <=  I8;     end case;   end process;    I1 <= '0';    I2 <= '1';    I3 <= '0';    I4 <= '0';    I5 <= '1';    I6 <= '1';    I7 <= '1';    I8 <= '0';     CTRL_1 <= ( OV1) xor ( OV2);    Q(16 downto 0) <= (visual_C36_O);   process (S56 , O2 , S51 , S)   begin     case S(1 downto 0) is       when "00" =>         visual_C36_O <=  S56(16 downto 0);       when "01" =>         visual_C36_O <=  O2(16 downto 0);       when "10" =>         visual_C36_O <=  S51(16 downto 0);       when others =>         visual_C36_O <=  S51(16 downto 0);     end case;   end process;    S(1) <= CTRL_1;  S(0) <= CO_GT0;   O1(16 downto 0) <= not (S56(16 downto 0));    O2(16 downto 0) <= (unsigned((O1(16 downto 0))) + 1);    CI_1 <= '0';    CO_GT <= (visual_C40_O);   process (CO_GT0 , CO_N1N2)   begin     case CO_N1N2 is       when '0' =>         visual_C40_O <=  CO_GT0;       when others =>         visual_C40_O <= not CO_GT0;     end case;   end process; end ADD_17_ST;----------------------------------------------------
--  
--  Library Name :  Idea_vhd_100M
--  Unit    Name :  MMUL_16A1_IN_2
--  Unit    Type :  Block Diagram
--  
------------------------------------------------------
 library ieee;use ieee.STD_LOGIC_1164.all;use ieee.STD_LOGIC_ARITH.all;use ieee.STD_LOGIC_UNSIGNED.all;entity MMUL_16A1_IN_2 is  port (        A1 : in std_logic_vector(16 downto 0 );        A1_1 : out std_logic_vector(16 downto 0 );        A2 : in std_logic_vector(16 downto 0 );        N1 : in std_logic_vector(16 downto 0 );        N2 : in std_logic_vector(16 downto 0 );        N2_1 : out std_logic_vector(16 downto 0 );        OV : out std_logic;        OV1 : in std_logic;        OV2 : in std_logic;        OV_1 : out std_logic;        OV_2 : out std_logic;        Q : out std_logic_vector(15 downto 0 );        A2_1 : out std_logic_vector(16 downto 0 );        N1_1 : out std_logic_vector(16 downto 0 )        );  end MMUL_16A1_IN_2;  use work.all;architecture MMUL_16A1_IN_2 of MMUL_16A1_IN_2 is   signal CO_N1N2 : std_logic;  signal I1 : std_logic_vector(16 downto 0 );  signal OV3 : std_logic;  signal OV_20 : std_logic;  signal S10 : std_logic_vector(16 downto 0 );  signal S11 : std_logic_vector(16 downto 0 );  signal S12 : std_logic_vector(16 downto 0 );  signal S121 : std_logic_vector(16 downto 0 );  signal S134 : std_logic;  signal S158 : std_logic;  signal S161 : std_logic;  signal S180 : std_logic_vector(16 downto 0 );  signal S19 : std_logic_vector(16 downto 0 );  signal S2 : std_logic_vector(16 downto 0 );  signal S26 : std_logic_vector(16 downto 0 );  signal S27 : std_logic;  signal S28 : std_logic;  signal S30 : std_logic_vector(16 downto 0 );  signal S35 : std_logic_vector(1 downto 0 );  signal S38 : std_logic;  signal S40 : std_logic_vector(16 downto 0 );  signal S41 : std_logic_vector(16 downto 0 );  signal S42 : std_logic_vector(16 downto 0 );  signal S6 : std_logic_vector(16 downto 0 );  signal S61 : std_logic_vector(16 downto 0 );  signal S7 : std_logic_vector(16 downto 0 );  signal SY : std_logic;  signal SY1 : std_logic;  signal TJ1 : std_logic;  signal TJ3 : std_logic;  component ADD_17_ST      port (            A1 : in std_logic_vector(16 downto 0 );            A2 : in std_logic_vector(16 downto 0 );            CO_N1N2 : in std_logic;            OV : out std_logic;            OV1 : in std_logic;            OV2 : in std_logic;            Q : out std_logic_vector(16 downto 0 )            );  end component;  signal visual_C1_dif_int : std_logic_vector(17 downto 0 );  signal visual_C1_tmp_a : std_logic_vector(17 - 1 downto 0 );  signal visual_C1_tmp_b : std_logic_vector(17 - 1 downto 0 );  constant visual_C1_zero : std_logic_vector(17 - 1 downto 0 ) := (others  =>                                                  '0');  signal visual_C13_O : std_logic_vector(17 - 1 downto 0 );  signal visual_C16_O : std_logic_vector(17 - 1 downto 0 );  signal visual_C17_O : std_logic;  signal visual_C18_O : std_logic;  signal visual_C92_O : std_logic;  signal visual_C20_O : std_logic;  signal visual_C147_dif_int : std_logic_vector(17 downto 0 );  signal visual_C147_tmp_a : std_logic_vector(17 - 1 downto 0 );  signal visual_C147_tmp_b : std_logic_vector(17 - 1 downto 0 );  constant visual_C147_zero : std_logic_vector(17 - 1 downto 0 ) := (others  =>                                                  '0');  signal visual_C29_O : std_logic_vector(16 - 1 downto 0 );  signal visual_C142_dif_int : std_logic_vector(17 downto 0 );  signal visual_C142_tmp_a : std_logic_vector(17 - 1 downto 0 );  signal visual_C142_tmp_b : std_logic_vector(17 - 1 downto 0 );  constant visual_C142_zero : std_logic_vector(17 - 1 downto 0 ) := (others  =>                                                  '0');  signal visual_C145_O : std_logic_vector(17 - 1 downto 0 );  signal visual_C12_O : std_logic_vector(17 - 1 downto 0 );  signal visual_C31_O : std_logic_vector(17 - 1 downto 0 );  signal visual_C32_O : std_logic_vector(17 - 1 downto 0 );  signal visual_C24_O : std_logic;  signal visual_C27_O : std_logic;   -- Start Configuration Specification  -- ++ for all : ADD_17_ST use entity work.ADD_17_ST(ADD_17_ST);  -- End Configuration Specification begin  OV_2 <= OV_20;  A2_1 <= S26(16 downto 0);  N1_1 <= S7(16 downto 0);   C103: ADD_17_ST    port map (              A1 => A2(16 downto 0),              A2 => A1(16 downto 0),              CO_N1N2 => CO_N1N2,              OV => OV3,              OV1 => S27,              OV2 => S28,              Q => I1(16 downto 0)              );      visual_C142_tmp_a <= (N1(16 downto 0));    visual_C142_tmp_b <= (N2(16 downto 0));    visual_C142_dif_int <= (unsigned('0' & visual_C142_tmp_a)       - unsigned('0' & visual_C142_tmp_b)) - unsigned(visual_C142_zero & (S134));        S40(16 downto 0) <= (visual_C142_dif_int(17 - 1 downto 0));        CO_N1N2 <= (visual_C142_dif_int(17));   S2(16 downto 0) <= (visual_C145_O);   process (S42 , S40 , CO_N1N2)   begin     case CO_N1N2 is       when '1' =>         visual_C145_O <=  S42(16 downto 0);       when others =>         visual_C145_O <=  S40(16 downto 0);     end case;   end process;    S6(16) <= S134;  S6(15 downto 0) <= N1(16 downto 1);   TJ1 <= not (N1(0));   TJ3 <= not (A1(0));   S134 <= '0';    S7(16 downto 0) <= (visual_C12_O);   process (S2 , S6 , TJ1)   begin     case TJ1 is       when '0' =>         visual_C12_O <=  S2(16 downto 0);       when others =>         visual_C12_O <=  S6(16 downto 0);     end case;   end process;    N2_1(16 downto 0) <= (visual_C31_O);   process (N1 , N2 , SY)   begin     case SY is       when '0' =>         visual_C31_O <=  N1(16 downto 0);       when others =>         visual_C31_O <=  N2(16 downto 0);     end case;   end process;    S26(16 downto 0) <= (visual_C32_O);   process (A1 , A2 , SY)   begin     case SY is       when '0' =>         visual_C32_O <=  A1(16 downto 0);       when others =>         visual_C32_O <=  A2(16 downto 0);     end case;   end process;    OV_20 <= (visual_C24_O);   process (OV1 , OV2 , SY)   begin     case SY is       when '0' =>         visual_C24_O <=  OV1;       when others =>         visual_C24_O <=  OV2;     end case;   end process;    S41(16 downto 0) <= not (S40(16 downto 0));    S42(16 downto 0) <= (unsigned((S41(16 downto 0))) + 1);    SY <= (visual_C27_O);   process (CO_N1N2 , TJ1 , SY1)   begin     case SY1 is       when '0' =>         visual_C27_O <= not CO_N1N2;       when others =>         visual_C27_O <=  TJ1;     end case;   end process;     SY1 <= ( TJ1) or ( CO_N1N2);     process (S7 , S61)   begin      if ((S7(16 downto 0)) = (S61(16 downto 0))) then        OV <= '1';      else        OV <= '0';      end if;  end process;    S61(16 downto 0) <= "00000000000000000";       visual_C1_tmp_a <= (S30(16 downto 0));    visual_C1_tmp_b <= (A1(16 downto 0));    visual_C1_dif_int <= (unsigned('0' & visual_C1_tmp_a)       - unsigned('0' & visual_C1_tmp_b));        S10(16 downto 0) <= (visual_C1_dif_int(17 - 1 downto 0));    S11(16) <= S134;  S11(15 downto 0) <= S10(16 downto 1);   S12(16) <= S134;  S12(15 downto 0) <= A1(16 downto 1);   S19(16 downto 0) <= (visual_C13_O);   process (S11 , S12 , TJ3)   begin     case TJ3 is       when '0' =>         visual_C13_O <=  S11(16 downto 0);       when others =>         visual_C13_O <=  S12(16 downto 0);     end case;   end process; 

?? 快捷鍵說明

復制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
久久综合九色综合97婷婷| 亚洲精品一二三四区| 91麻豆精品久久久久蜜臀| 成人开心网精品视频| 国产毛片精品视频| 香蕉久久一区二区不卡无毒影院 | 欧美亚洲丝袜传媒另类| 国产成人免费网站| 另类专区欧美蜜桃臀第一页| 一区二区三区丝袜| **欧美大码日韩| 欧美激情综合网| 国产欧美精品一区aⅴ影院| 欧美一级日韩免费不卡| 欧美蜜桃一区二区三区| 成人美女视频在线观看18| 国产一区二区免费看| 国产精品一二一区| 韩国av一区二区三区在线观看| 日本特黄久久久高潮| 午夜电影久久久| 午夜精品福利视频网站| 亚洲一区二区高清| 亚洲国产精品一区二区久久恐怖片 | 久久精品久久久精品美女| 亚洲成人动漫在线免费观看| 亚洲日本乱码在线观看| 国产精品久久毛片a| 久久久精品免费观看| 久久精品人人做| 国产精品视频一二| 亚洲精选视频免费看| 一区二区免费看| 亚洲高清免费一级二级三级| 同产精品九九九| 蜜臀av一区二区在线免费观看 | 欧美日本国产一区| 欧美精品v国产精品v日韩精品| 欧美军同video69gay| 91精品国产91热久久久做人人| 9191成人精品久久| 精品国产1区二区| 国产片一区二区| 亚洲欧美激情视频在线观看一区二区三区 | 欧美视频中文一区二区三区在线观看| 欧美日精品一区视频| 欧美一区二区三区性视频| 日韩免费电影一区| 国产午夜精品一区二区三区视频| 国产精品超碰97尤物18| 亚洲一区电影777| 午夜成人免费视频| 韩国在线一区二区| 99精品一区二区| 91精品国产入口在线| 久久综合av免费| 亚洲三级小视频| 水蜜桃久久夜色精品一区的特点| 麻豆精品视频在线观看免费 | av福利精品导航| 欧美四级电影在线观看| 欧美不卡在线视频| 亚洲天堂久久久久久久| 日韩精品国产欧美| 国产99久久久国产精品潘金| 色综合久久综合网欧美综合网| 91精品欧美久久久久久动漫| 欧美一激情一区二区三区| 欧美国产精品久久| 丝袜美腿亚洲一区| 不卡电影一区二区三区| 欧美日韩精品综合在线| 久久先锋资源网| 亚洲精品国产无套在线观| 麻豆精品视频在线观看视频| 91在线精品一区二区| 日韩欧美一区在线观看| 综合在线观看色| 老司机一区二区| 日本久久电影网| 国产欧美精品国产国产专区| 亚洲成人动漫在线免费观看| 国产不卡视频在线播放| 9191精品国产综合久久久久久 | 麻豆一区二区三区| 91国偷自产一区二区使用方法| 日韩精品在线看片z| 国产夜色精品一区二区av| 亚洲mv在线观看| 91在线观看免费视频| 欧美xxxx在线观看| 亚洲香蕉伊在人在线观| 成人激情小说网站| 精品免费99久久| 午夜av一区二区三区| 成人精品小蝌蚪| 日韩视频一区二区| 人人精品人人爱| 丝袜美腿亚洲色图| 欧洲在线/亚洲| 亚洲三级电影网站| 国产在线精品一区二区夜色 | 亚洲精品在线一区二区| 亚洲va国产天堂va久久en| 99re这里只有精品6| 国产日韩欧美精品一区| 狠狠狠色丁香婷婷综合激情| 69堂成人精品免费视频| 亚洲风情在线资源站| 色吊一区二区三区| 日韩av中文字幕一区二区| 久久精品国产色蜜蜜麻豆| 欧美日韩国产高清一区| 亚洲一级不卡视频| 欧美精彩视频一区二区三区| 日韩理论片在线| 91香蕉视频在线| 国产精品高潮呻吟| 久久精品久久99精品久久| 欧美一级精品在线| 老司机精品视频一区二区三区| 久久国产视频网| av资源网一区| 日韩精品一级二级| 这里只有精品免费| 美国精品在线观看| 日韩欧美国产不卡| 国内外精品视频| 久久精品亚洲精品国产欧美| 午夜视黄欧洲亚洲| 欧美欧美欧美欧美| 男女男精品网站| 精品国产一区二区三区久久久蜜月| 蜜桃久久久久久久| 欧美一区二区三区视频在线观看| 亚洲美女偷拍久久| 色偷偷久久一区二区三区| 亚洲成人在线网站| 91精品国产综合久久久久久久| 免费久久精品视频| 欧美成人aa大片| 国产成人av一区二区三区在线 | 中文欧美字幕免费| 中文字幕第一区| 狠狠色丁香久久婷婷综合_中| 久久精品水蜜桃av综合天堂| 成人h精品动漫一区二区三区| 一级日本不卡的影视| 欧美一区二区三区在线看 | 欧美日本在线一区| 国产精品亚洲视频| 亚洲国产日韩在线一区模特| 精品国产网站在线观看| 99久久精品国产精品久久| 天堂一区二区在线免费观看| 亚洲精品一区二区三区蜜桃下载| 91色乱码一区二区三区| 久久精品久久精品| 一区二区三区免费网站| 久久综合九色综合欧美亚洲| 色婷婷狠狠综合| 国产精品白丝av| 日日夜夜一区二区| 国产精品欧美极品| 日韩美女在线视频| 在线观看亚洲精品| 风间由美性色一区二区三区| 午夜国产精品影院在线观看| 国产精品久久久久久久蜜臀| 欧美一级专区免费大片| 99久久精品国产精品久久| 国内偷窥港台综合视频在线播放| 亚洲一区二区三区四区五区黄 | 欧美色手机在线观看| 国产高清精品久久久久| 日本女人一区二区三区| 亚洲久草在线视频| 国产日韩欧美精品综合| 欧美一级片在线观看| 欧美在线免费视屏| 成人99免费视频| 国产一区二区三区综合| 蜜桃精品视频在线观看| 午夜日韩在线电影| 一区二区激情视频| 亚洲欧洲国产日本综合| 久久亚洲精精品中文字幕早川悠里| 欧美挠脚心视频网站| 欧美在线免费播放| 色婷婷综合久久久中文字幕| 成人免费观看男女羞羞视频| 国产伦精品一区二区三区免费| 日本三级亚洲精品| 日本一区中文字幕| 亚洲成人综合在线| 久久色成人在线| 精品va天堂亚洲国产| 精品久久国产老人久久综合| 日韩一级成人av| 欧美岛国在线观看|