?? led_display.v
字號:
module led_display
(
input clk,
input rst_n,
output reg [7:0] led_data
);
//----------------------------
reg [27:0] cnt;
always@(posedge clk or negedge rst_n)
begin
if(!rst_n)
cnt <= 0;
else
cnt <= (cnt == 28'd150_000000) ? 28'd0 : cnt + 1'b1;
end
wire delay03_flag = (cnt == 28'd150_000000) ? 1'b1 : 1'b0; //3s flag
always@(posedge clk or negedge rst_n)
begin
if(!rst_n)
led_data <= 0;
else if(delay03_flag)
led_data <= {led_data[6:0],1'b1};
end
endmodule
?? 快捷鍵說明
復制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號
Ctrl + =
減小字號
Ctrl + -