亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關于我們
? 蟲蟲下載站

?? 2.txt

?? 此程序是實現數字鐘的
?? TXT
字號:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity digital_clk is
    port(clk:in std_logic;--------------------------------------------------時鐘信號
         clr:in std_logic;----------------------------------------------------清零端
         en :in std_logic;------------------------------------------------計時使能端
         set12:in std_logic;--------------------------------------------時間顯示方式
         clken:in std_logic;------------------------------------------------鬧鐘開關
         mode :in std_logic;------------------------------------------------模式選擇
         inup:in std_logic;-----------------------------------------------------置數
         clk_out:out std_logic;---------------------------------------------鬧鐘顯示
         seg7:out std_logic_vector(7 downto 0);-----------------------------顯示信號
         scan: out std_logic_vector(5 downto 0));-------------------------- 掃描信號
end;
 
architecture one of digital_clk is
   signal state:integer range 0 to 6;-----------------------------------定義六種腫刺?   signal qhh,qhl,qmh,qml,qsh,qsl:std_logic_vector(3 downto 0);--小時‘分’秒的高位和低位
   signal data:std_logic_vector(3 downto 0);-----------------------數碼管編碼激勵信號
   signal cnt:integer range 0 to 5;-------------------------------掃描數碼管的計數器
   signal clk1khz,clk1hz,clk2hz:std_logic;---------------------1KH、1HZ、2KZ分頻信號
   signal blink:std_logic_vector(2 downto 0);-------------------------------閃爍信號
   signal  clock:std_logic;-------------------------------------------------鬧鐘顯示
   signal sec_display,min_display:integer range 0 to 59;
   signal hour_display:integer range  0 to 23;
begin

process(clk)------------------------------------1KHZ分頻 用于掃描數碼管地址
   variable count :integer range 0 to 9999;
begin
   if clk'event and clk='1' then 
      if count =9999 then clk1khz<= not clk1khz;count:=0;
      else count:=count+1; 
      end if;
   end if;
end process;

process(clk1khz) ---------------------------------------------1HZ 用于計時
 variable count:integer range 0 to 499;
begin
  if clk1khz'event and clk1khz='1' then 
      if count =499 then clk1hz<= not clk1hz;count:=0;
      else count:=count+1; 
      end if;
  end if;
end process;

process(clk1khz)----------------------------------------------2HZ用于閃爍
variable count:integer range 0 to 249;
begin
  if clk1khz'event and clk1khz='1' then 
      if count =249 then clk2hz<= not clk2hz;count:=0;
      else count:=count+1; 
      end if; 
  end if;
end process;

process(mode,clr)---------------------------------------------模式轉換
begin 
  if clr='1' then 
     state<=0;
  elsif mode'event and mode='1' then
        state<=state+1;
        if state=5 then state<=0;end if;
  end if;
end process;


process(clk1hz,state,en,clken,clr,set12)----------------------狀態控制
variable hhtemp,mmtemp:integer range  0 to 59;
variable sstemp:integer range  0 to 23;
variable min,sec:integer range  0 to 59;
variable hour:integer range  0 to 23;
begin
  if en='1' then
     hour_display<=hour_display;
     min_display<=min_display;
     sec_display<=sec_display;
  elsif clr='1' then
        hour:=0;
        min:=0;
        sec:=0;
        hour_display<=hour;
        min_display<=min;
        sec_display<=sec;
  elsif clk1hz'event and clk1hz='1' then
        case state is
             when 0=>if sec=59 then sec:=0;-------------------模式0,正常計數
                           if min=59 then min:=0;
                              if hour=23 then hour:=0;
                              else hour:=hour+1;end if;
                           else min:=min+1;end if;
                        else sec:=sec+1;end if;
                        if clken='0' then
                           if min=mmtemp then 
                              if hour=hhtemp then clock<='1'; end if;
                           else clock<='0';
                           end if;
                        else clock<='0';end if;
                        hour_display<=hour;
                        min_display<=min;
                        sec_display<=sec;
             when 1=>if inup'event and inup='1' then-----------小時的校時
                           if hour=23 then hour:=0;
                           else hour:=hour+1; 
                           end if;
                        end if;
                     hour_display<=hour;
                     min_display<=min;
                     sec_display<=sec;
             when 2=>if inup'event and inup='1' then------------分的校時
                           if min=59 then min:=0;
                           else min:=min+1; 
                           end if;
                        end if;
                     hour_display<=hour;
                     min_display<=min;
                     sec_display<=sec;
             when 3=>if inup'event and inup='1' then------------秒的校時
                           if sec=23 then sec:=0;
                           else sec:=sec+1; 
                           end if;
                        end if;
                     hour_display<=hour;
                     min_display<=min;
                     sec_display<=sec;
             when 4=>if inup'event and inup='1' then------------鬧鐘分的設定
                              if mmtemp=59 then mmtemp:=0;
                              else mmtemp:=mmtemp+1;end if;
                         end if;
                     min_display<=mmtemp;
                     sec_display<=0;
             when 5=>if inup'event and inup='1' then------------鬧鐘時的設定
                        if hhtemp=23 then hhtemp:=0;
                        else hhtemp:=hhtemp+1;end if;
                     end if;
                     hour_display<=hhtemp;
                     sec_display<=0;
            when others =>null;
        end case ;
end if;
end process;
------------------------------設定時間時,令數碼管閃爍--------------------------

process(state,clk2hz)
begin
   case state is
        when 0=> blink<="000";
        when 1=> blink<=(2=>clk2hz,others=>'0');
        when 2=> blink<=(1=>clk2hz,others=>'0');
        when 3=> blink<=(0=>clk2hz,others=>'0'); 
        when 4=> blink<=(1=>clk2hz,others=>'0');
        when 5=> blink<=(2=>clk2hz,others=>'0');
        when others=>null;
   end case;
end process;


--------------------------------秒的十進制轉BCD-----------------------------------
process(sec_display)
begin
case sec_display is
     when 0|10|20|30|40|50  =>qsl<="0000";
     when 1|11|21|31|41|51  =>qsl<="0001";
     when 2|12|22|32|42|52  =>qsl<="0010";
     when 3|13|23|33|43|53  =>qsl<="0011";
     when 4|14|24|34|44|54  =>qsl<="0100";
     when 5|15|25|35|45|55  =>qsl<="0101"; 
     when 6|16|26|36|46|56  =>qsl<="0110"; 
     when 7|17|27|37|47|57  =>qsl<="0111";
     when 8|18|28|38|48|58  =>qsl<="1000";
     when 9|19|29|39|49|59  =>qsl<="1001"; 
     when others =>null;
end case;
case sec_display is
     when 0|1|2|3|4|5|6|7|8|9 =>qsh<="0000";
     when 10|11|12|13|14|15|16|17|18|19 =>qsh<="0001";
     when 20|21|22|23|24|25|26|27|28|29 =>qsh<="0010";
     when 30|31|32|33|34|35|36|37|38|39 =>qsh<="0011";
     when 40|41|42|43|44|45|46|47|48|49 =>qsh<="0100";
     when 50|51|52|53|54|55|56|57|58|59 =>qsh<="0101";
     when others=>null;
end case;
end process;


-------------------------------分的十進制轉BCD-----------------------------------
process(min_display)
begin
case min_display is
     when 0|10|20|30|40|50  =>qml<="0000";
     when 1|11|21|31|41|51  =>qml<="0001";
     when 2|12|22|32|42|52  =>qml<="0010";
     when 3|13|23|33|43|53  =>qml<="0011";
     when 4|14|24|34|44|54  =>qml<="0100";
     when 5|15|25|35|45|55  =>qml<="0101"; 
     when 6|16|26|36|46|56  =>qml<="0110"; 
     when 7|17|27|37|47|57  =>qml<="0111";
     when 8|18|28|38|48|58  =>qml<="1000";
     when 9|19|29|39|49|59  =>qml<="1001"; 
     when others =>null;
end case;
case min_display is
     when 0|1|2|3|4|5|6|7|8|9 =>qmh<="0000";
     when 10|11|12|13|14|15|16|17|18|19 =>qmh<="0001";
     when 20|21|22|23|24|25|26|27|28|29 =>qmh<="0010";
     when 30|31|32|33|34|35|36|37|38|39 =>qmh<="0011";
     when 40|41|42|43|44|45|46|47|48|49 =>qmh<="0100";
     when 50|51|52|53|54|55|56|57|58|59 =>qmh<="0101";
     when others=>null;
end case;
end process;


 

------------------------------小時的十進制轉換BCD---------------------------------
process(hour_display)
variable htemp:integer range  0 to 23;
begin
   htemp:=hour_display;
   if htemp>12 then 
      if set12='1' then htemp:=htemp mod 12;end if;
   end if;
case htemp is
     when 0|10|20 =>qhl<="0000";
     when 1|11|21 =>qhl<="0001";           
     when 2|12|22 =>qhl<="0010";
     when 3|13|23 =>qhl<="0011";
     when 4|14=>qhl<="0100";
     when 5|15=>qhl<="0101";
     when 6|16=>qhl<="0110";
     when 7|17=>qhl<="0111";
     when 8|18=>qhl<="1000";
     when 9|19=>qhl<="1001";
     when others=>null;
end case;
case htemp is
     when 0|1|2|3|4|5|6|7|8|9 =>qhh<="0000";
     when 10|11|12|13|14|15|16|17|18|19 =>qhh<="0001";
     when 20|21|22|23=>qhh<="0010";
     when others =>null;
end case;
end process;
----------------------------數碼管動態掃描計數-----------------------------------
process(clk1khz)
begin
if clk1khz'event and clk1khz='1' then 
   if cnt =5 then cnt<=0;
   else cnt<=cnt+1;
   end if;
end if;
end process;
------------------------------數碼管動態掃描-------------------------------------
process(cnt,qhh,qhl,qmh,qml,qsh,qsl,blink)
begin
case cnt is
     when 0 =>data<=qsl or(blink(0)&blink(0)&blink(0)&blink(0)); scan<="000001";
     when 1 =>data<=qsh or(blink(0)&blink(0)&blink(0)&blink(0)); scan<="000010";
     when 2 =>data<=qml or(blink(1)&blink(1)&blink(1)&blink(1)); scan<="000100";
     when 3 =>data<=qmh or(blink(1)&blink(1)&blink(1)&blink(1)); scan<="001000";
     when 4 =>data<=qhl or(blink(2)&blink(2)&blink(2)&blink(2)); scan<="010000";
     when 5 =>data<=qhh or(blink(2)&blink(2)&blink(2)&blink(2)); scan<="100000";
     when others=>null;
end case;
end process;
     
------------------------------數碼管顯示編碼--------------------------------------

process(data,cnt)
begin
  case data is
     when "0000"=> case cnt is
                        when 2|4 =>seg7<="11111101";
                        when 0|1|3|5=>seg7<="11111100";
                   end case;
     when "0001"=> case cnt is
                        when 2|4 =>seg7<="01100001";
                        when 0|1|3|5=>seg7<="01100000";
                   end case;
     when "0010"=>case cnt is
                        when 2|4 =>seg7<="11011011";
                        when 0|1|3|5=>seg7<="11011010";
                   end case;
     when "0011"=> case cnt is
                        when 2|4 =>seg7<="11110011";
                        when 0|1|3|5=>seg7<="11110010";
                   end case;
	 when "0100"=> 
	               case cnt is
                        when 2|4 =>seg7<="01100111";
                        when 0|1|3|5=>seg7<="01100110";
                   end case;
	 when "0101"=> 
	                case cnt is
                        when 2|4 =>seg7<="10110111";
                        when 0|1|3|5=>seg7<="10110110";
                   end case;
	 when "0110"=> 
	               case cnt is
                        when 2|4 =>seg7<="10111111";
                        when 0|1|3|5=>seg7<="10111110";
                   end case;
	 when "0111"=> 
	               case cnt is
                        when 2|4 =>seg7<="11100001";
                        when 0|1|3|5=>seg7<="11100000";
                   end case;
     when "1000"=> 
                   case cnt is
                        when 2|4 =>seg7<="11111111";
                        when 0|1|3|5=>seg7<="11111110";
                   end case;
     when "1001"=> 
                   case cnt is
                        when 2|4 =>seg7<="11110111";
                        when 0|1|3|5=>seg7<="11110110";
                   end case;
     when others=>null;
end case;
end process;
clk_out<=clock;
end ;

?? 快捷鍵說明

復制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
亚洲国产成人tv| 亚洲国产综合91精品麻豆| 日本一区二区高清| 亚洲午夜影视影院在线观看| 久久成人免费电影| 欧美视频你懂的| 中文字幕一区二区三区色视频| 午夜精品久久久久| 97se亚洲国产综合自在线| 欧美高清dvd| 日韩码欧中文字| 国产激情偷乱视频一区二区三区| 欧美日韩一级二级| 国产精品久久久久久久裸模| 六月婷婷色综合| 欧美视频在线观看一区| 中文字幕在线观看一区二区| 青娱乐精品在线视频| 日本韩国欧美一区| 中文字幕欧美一| 丁香一区二区三区| 久久综合狠狠综合久久综合88| 亚洲成a人v欧美综合天堂下载| 不卡一区二区三区四区| 国产性做久久久久久| 精品在线亚洲视频| 欧美成人乱码一区二区三区| 亚洲成人在线网站| 欧美三级一区二区| 一区二区三区四区亚洲| 欧美性猛交xxxxxxxx| 麻豆国产精品一区二区三区| 99热精品国产| 国产精品成人在线观看| 国产一区二区三区最好精华液| 日韩一区二区电影| 麻豆91在线观看| 欧美精品一区二区三区很污很色的| 日韩国产欧美三级| 日韩欧美国产午夜精品| 日韩成人一级大片| 日韩视频在线观看一区二区| 日精品一区二区三区| 欧美精品免费视频| 麻豆一区二区在线| www欧美成人18+| 成人动漫视频在线| 亚洲欧美激情一区二区| 欧美亚一区二区| 亚洲第一狼人社区| 欧美性生活大片视频| 日韩欧美一区在线| 丝袜美腿高跟呻吟高潮一区| 狠狠狠色丁香婷婷综合激情| 欧美va亚洲va| 99免费精品在线| 一区二区高清在线| 欧美一区二区三区四区视频 | 欧美mv和日韩mv国产网站| 免费看精品久久片| 26uuu久久综合| 91美女片黄在线观看| 午夜精品一区在线观看| 欧美大黄免费观看| 成人网在线免费视频| 亚洲电影一区二区三区| 精品伦理精品一区| 91免费视频观看| 奇米色777欧美一区二区| 欧美精品一区二区三区一线天视频 | 91欧美激情一区二区三区成人| 日韩一卡二卡三卡| 久久久激情视频| 一区二区在线观看不卡| 91蝌蚪porny成人天涯| 日韩一区在线看| 亚洲国产精品嫩草影院| 国产精品2024| 99精品视频在线免费观看| 中文字幕av不卡| 在线观看视频一区| 激情久久五月天| 亚洲欧洲精品一区二区三区| 67194成人在线观看| 高清久久久久久| 日精品一区二区| 蜜桃av一区二区在线观看| 国产免费久久精品| 中文字幕成人网| 麻豆精品久久精品色综合| 一区二区三区高清| 日韩一区二区三区在线观看| 国产成人啪免费观看软件| 舔着乳尖日韩一区| 亚洲男人电影天堂| 国产免费久久精品| 欧美电影免费观看高清完整版在线观看 | 国产精品久久久久aaaa樱花| 日韩欧美高清dvd碟片| 欧美特级限制片免费在线观看| 国产成人精品aa毛片| 蜜桃视频在线观看一区| 亚洲r级在线视频| 综合中文字幕亚洲| 成人激情图片网| 99re亚洲国产精品| 国产精品1区2区3区| 日本aⅴ亚洲精品中文乱码| 亚洲精品免费看| 1024成人网| 国产精品久久久久婷婷二区次| 日韩三级视频在线看| 67194成人在线观看| 欧美日韩一级二级三级| 欧美日韩中文字幕一区| 欧美中文字幕不卡| 在线观看视频欧美| 欧美日韩国产综合视频在线观看| 色网综合在线观看| 91福利社在线观看| 欧美午夜影院一区| 欧美揉bbbbb揉bbbbb| 欧美日韩亚洲不卡| 欧美日韩小视频| 日韩亚洲欧美一区二区三区| 欧美国产欧美亚州国产日韩mv天天看完整| 欧美一级黄色片| 日韩亚洲国产中文字幕欧美| 欧美一区二区三区四区高清| 欧美一区二区网站| 精品久久久久久亚洲综合网| 精品国产在天天线2019| 久久精品无码一区二区三区| 中文文精品字幕一区二区| 国产精品色哟哟网站| 亚洲女爱视频在线| 五月天久久比比资源色| 男男成人高潮片免费网站| 久久99精品久久久久| 成人黄页毛片网站| 欧美综合视频在线观看| 日韩欧美中文一区| 久久久久国产精品麻豆| 中文字幕制服丝袜一区二区三区| 夜夜嗨av一区二区三区网页| 日韩电影在线一区二区三区| 韩国精品久久久| 色噜噜狠狠色综合中国| 日韩一区二区免费电影| 国产亚洲欧美日韩日本| 一区二区三区四区高清精品免费观看| 亚洲福利视频三区| 国模大尺度一区二区三区| 色一情一乱一乱一91av| 日韩精品中午字幕| 国产精品欧美综合在线| 亚洲国产美女搞黄色| 精品一区二区精品| 一本在线高清不卡dvd| 精品国产91乱码一区二区三区 | 亚洲愉拍自拍另类高清精品| 免费三级欧美电影| 99久久国产综合精品麻豆| 欧美乱妇15p| 中文字幕一区二区三区精华液| 亚洲国产一区二区a毛片| 国产一区在线观看麻豆| 在线影院国内精品| 国产农村妇女毛片精品久久麻豆 | 亚洲已满18点击进入久久| 激情图片小说一区| 一本久久a久久精品亚洲| 日韩一卡二卡三卡国产欧美| 亚洲日本一区二区| 国产精华液一区二区三区| 在线播放/欧美激情| 最新高清无码专区| 国产一区二区伦理| 日韩欧美在线网站| 亚洲国产精品久久久久婷婷884| 国产在线国偷精品免费看| 欧美日产国产精品| 成人欧美一区二区三区小说 | 亚洲精品v日韩精品| 国产一区不卡在线| 欧美一区二区三区免费大片| 国产精品九色蝌蚪自拍| 国产一区999| 久久综合色播五月| 美日韩一区二区三区| 欧美日韩免费视频| 一区二区视频在线| av爱爱亚洲一区| 亚洲国产精品v| 丁香婷婷综合激情五月色| 精品国产免费视频| 卡一卡二国产精品 | 成人高清伦理免费影院在线观看| 久久综合九色综合欧美亚洲| 久久精品国产99|