亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關(guān)于我們
? 蟲蟲下載站

?? writefifo.vhd

?? FPGA高速完成AD采集回來的數(shù)據(jù)進(jìn)行高速讀寫FLASH存儲(chǔ)
?? VHD
字號(hào):
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

--  Uncomment the following lines to use the declarations that are
--  provided for instantiating Xilinx primitive components.
--library UNISIM;
--use UNISIM.VComponents.all;

entity writefifo is
	port(
		s2					   : in  std_logic;
		fosc					: in  std_logic;
		glrn					: in  std_logic;
		request				: in  std_logic;
		ldsrqustin			: in  std_logic;							  	--read mode					                                     
      dclkin            : in  std_logic;
		datain            : in  std_logic_vector(7 downto 0);
		beiflag				: in  std_logic;
		lvdsdtain			: in	std_logic_vector(7 downto 0);	
		lvdsflag				: in  std_logic;
		lvdsrclk				: in  std_logic;
		Lock					: in  std_logic;
		usbchoose			: in  std_logic;
		rws					: in  std_logic;
		L6		            : out std_logic;
		L5		            : out std_logic;
		refclk				: out std_logic;
	  	rclk_rf				: out std_logic;
		tclk					: out std_logic;
		tclk_rf				: out std_logic;
   	flashclk          : out std_logic;
		rwen              : out std_logic;
		beiflagout			: out std_logic;
		lvdsrwenout       : out std_logic;
		chooseout         : out std_logic;
		choose			   : out std_logic;
		fifowr				: out std_logic;
		addwr					: out std_logic_vector(11 downto 0);
		oe245             : out std_logic;
		lvdsrwen          : out std_logic_vector(3 downto 0);	
		ldsrqustout       : out std_logic_vector(3 downto 0);
		dataout           : out std_logic_vector(7 downto 0);	
		fifodo				: out std_logic_vector(7 downto 0)		--fifo data output
		);
end writefifo;

architecture Behavioral of writefifo is
		signal f_addwr			:	std_logic_vector(11 downto 0);			--write address
		signal f_data			:	std_logic_vector(7 downto 0);
		type	 brq				is (br0,br1,br2,br3,br4,br5,br6,br7,br8,br9,br10,br11,br12,br13,br14,br15,br16,br17,br18,br19,br20,br21,br22,br23,br24,br25,br26,br27,br28,br29,br30,br31,br32,br33,br34,br35,br36,br37,br38,br39,br40,br41,br42,br43,br44,br45,br46,br47,br48,br49,br50,br51,br52,br53);
		signal b_state			: brq;
		signal wrclk         : std_logic;
		signal f_zcount		:	std_logic_vector(23 downto 0);
		signal fdclkin       : std_logic;
		signal state         : std_logic;
		signal fenpclk       : std_logic;
		signal rwsoe         : std_logic;
		signal f_beiflag		: std_logic;
		signal f_s2				: std_logic;
		signal f_rws			: std_logic;
		signal f_count       : std_logic_vector(4 downto 0);
		signal countlvds0    : std_logic_vector(3 downto 0);
		signal countlvds1    : std_logic_vector(3 downto 0);
		signal f_lvds0       : std_logic_vector(3 downto 0);
		signal f_lvds1       : std_logic_vector(3 downto 0);
		signal f_lvdsrwen    : std_logic_vector(3 downto 0);
		signal f_ldsrqustout : std_logic_vector(3 downto 0);
		signal clkcount		: std_logic_vector(1 downto 0);
		signal f_rwcount     : std_logic_vector(13 downto 0);
		signal f_rbcount     : std_logic_vector(1 downto 0);
		signal lvf_addwr		: std_logic_vector(11 downto 0);
		signal f_command		: std_logic_vector(6 downto 0);
		signal f_beiflagwcount	: std_logic_vector(19 downto 0);
		signal f_s2wcount		  	: std_logic_vector(19 downto 0);

begin
	choose<= usbchoose;
	flashclk	<=wrclk;
	rwen<=rws;
	state<=rws;
	ldsrqustout<=f_ldsrqustout;
	lvdsrwen<=f_lvdsrwen;
	oe245<=rwsoe;
	rclk_rf<='1';
	tclk_rf<='1';
	refclk<=fenpclk;
	tclk<=fenpclk;
	beiflagout<=f_beiflag;
	lvdsrwenout<=rws;
	chooseout<=usbchoose;
	L6<=Lock;

---------------------------------------------------
	L5<=rws  when(f_s2='1')	  
	   else '0' ;

		-------------------------------
	lds0: process(glrn,fenpclk)
	begin
		if glrn='0' then
			countlvds0<="0000";
		elsif fenpclk ' event and fenpclk='0' then
			countlvds0<=countlvds0+1;
			if countlvds0 >="0111" then
			countlvds0<="0000";
			end if;
			f_lvds0<=countlvds0;
      end if;
    end process lds0;
	 lds1: process(glrn,fenpclk)
	  begin
		if glrn='0' then
			countlvds1<="1000";
		elsif fenpclk ' event and fenpclk='0' then
			countlvds1<=countlvds1+1;
			if countlvds1="1111"or countlvds1<"1000" then
			   countlvds1<="1000";
         end if;
			f_lvds1<=countlvds1;
      end if;
    end process lds1;
	f_ldsrqustout<=f_lvds0 when(ldsrqustin='0')
	   else f_lvds1;
	f_lvdsrwen<=f_lvds0 when(rws='0' or f_s2='0')
	   else f_lvds1;
	--------------------------
	p3:process(glrn,fosc)
	variable fcnt : std_logic_vector(7 downto 0);
	begin
		if glrn='0' then
			wrclk<='1';
			b_state<=br0;		
		elsif fosc'event and fosc='1' then
			if state='1' then
				case b_state is
               when br0 =>
					     wrclk<='0';
					     b_state <= br1;
               when br1 =>
					     dataout<=f_data ;
					     b_state <= br2;
               when br2 =>
					     f_count<="00000";
					     b_state <= br3;
               when br3=>
					     if f_count="11110" then
						  		f_count<="00000";
								b_state <= br4;
						  else
						  		f_count<=f_count+1;
								b_state<=br3;
						  end if;	  
					when br4=>
		              b_state <= br5;
               when br5 =>
					     wrclk<='1';
						  b_state <= br6;
				   when br6=>
						  f_count<="00000";
					     b_state <= br7;
               when br7=>
					  	 if f_count="11110" then
						  		f_count<="00000";
								b_state <= br8;
						 else
						  		f_count<=f_count+1;
								b_state<=br7;
						 end if;
					when br8=>
						  b_state<=br9;
					when br9=>
					     f_count<="00000";
						  b_state<=br10;	  
               when br10 =>
						if fcnt = X"FB" then
							f_data <= f_zcount(23 downto 16);
							fcnt := X"FC";
						elsif fcnt = X"FC" then
							f_data <= f_zcount(15 downto 8);
							fcnt := X"FD";
						elsif fcnt = X"FD" then
						   f_data <= f_zcount(7 downto 0);
							fcnt := X"FE";
						elsif fcnt = X"FE" then
						   f_data <= X"EB";
							fcnt := X"FF";
						elsif fcnt=X"FF" then
							f_data <= X"90";
							fcnt := X"00";
							f_zcount <= f_zcount + 1;
						else 
							f_data <= fcnt;
							fcnt := fcnt + 1;
						end if;
							b_state <= br0;
					when others	=>
					   b_state <= br0;					   
				end case;
			end if;

		end if;
	end process p3;

		

-------------------------------------------------

dclkin xiaodou

   p4: process(glrn, fosc,dclkin)
	begin
		if glrn='0' then
			f_rbcount<="00";
			fdclkin<='1';
		elsif fosc ' event and fosc='1' then
				if dclkin='0' and f_rbcount(1)='0' and fdclkin='1' then
					f_rbcount<=f_rbcount+1;
					fdclkin<='1';
				elsif dclkin='0' and f_rbcount(1)='1' and fdclkin='1' then
					fdclkin<='0';
					f_rbcount<="00";
				elsif dclkin='1' and f_rbcount(1)='0' and fdclkin='0' then
					fdclkin<='0';
					f_rbcount<=f_rbcount+1;
				elsif dclkin='1' and f_rbcount(1)='1' and fdclkin='0' then
					fdclkin<='1';
					f_rbcount<="00";
				else
					f_rbcount<="00";
				end if;
		end if;
	end process p4;
--

---------------------------------------------------
	p2: process(glrn,request,f_beiflag,fdclkin,datain,lvdsflag,lvdsdtain,f_addwr,lvf_addwr,lvdsrclk)
		begin
			if  request='1' or glrn ='0'then	 --
				f_addwr<="000000000000";
				lvf_addwr<="000000000000";
         elsif  f_beiflag='0'then
					addwr<=f_addwr;
					fifowr<=fdclkin;
					fifodo<=datain;
				if  fdclkin'event and fdclkin='0' then 
					f_addwr<=f_addwr+1;		
				end if;	
		   else
			   
				addwr<=lvf_addwr;
				fifowr<= lvdsrclk;
				if lvdsflag='0'then
				   if  lvdsrclk'event and lvdsrclk='0' then 
						lvf_addwr<=lvf_addwr+1;	
						fifodo<=lvdsdtain;
					end if;
				end if;
		  end if;     
		end process p2;

----------------------------------------------------
	 when (flag='0')
	 
	p5: process(glrn, fosc)
	begin
		if glrn='0' then
			f_rwcount<="00000000000000";
			rwsoe<='0';
		elsif fosc ' event and fosc='1' then	
				if rws='0' and f_rwcount(13)='0' and rwsoe='1' then
					f_rwcount<=f_rwcount+1;
					rwsoe<='1';
				elsif rws='0' and f_rwcount(13)='1' and rwsoe='1' then
					rwsoe<='0';
					f_rwcount<="00000000000000";
				elsif rws='1' and f_rwcount(12)='0' and rwsoe='0' then
					rwsoe<='0';
					f_rwcount<=f_rwcount+1;
				elsif rws='1' and f_rwcount(12)='1' and rwsoe='0' then
					rwsoe<='1';
					f_rwcount<="00000000000000";
				else
					f_rwcount<="00000000000000";
				end if;
		end if;
	end process p5;

 --------------------------------------------------

	p6: process(glrn, fosc)
	begin
		if glrn='0' then
			f_beiflagwcount<=X"00000";
			f_beiflag<='0';
		elsif fosc ' event and fosc='1' then
				if beiflag='0' and f_beiflagwcount(19)='0' and f_beiflag='1' then
					f_beiflagwcount<=f_beiflagwcount+1;
					f_beiflag<='1';
				elsif beiflag='0' and f_beiflagwcount(19)='1' and f_beiflag='1' then
					f_beiflag<='0';
					f_beiflagwcount<=X"00000";
				elsif beiflag='1' and f_beiflagwcount(19)='0' and f_beiflag='0' then
					f_beiflag<='0';
					f_beiflagwcount<=f_beiflagwcount+1;
				elsif beiflag='1' and f_beiflagwcount(19)='1' and f_beiflag='0' then
					f_beiflag<='1';
					f_beiflagwcount<=X"00000";
            elsif beiflag='0' and f_beiflag='0' then
					f_beiflag<='0';
					f_beiflagwcount<=X"00000";
				elsif beiflag='1'	and f_beiflag='1' then
					f_beiflag<='1';
					f_beiflagwcount<=X"00000";
				else
					f_beiflagwcount<=X"00000";
				end if;
		end if;								   
	end process p6;

		----------------fen ping 產(chǎn)生命令的 無效位---------------
	p8: process(glrn, fosc,clkcount)
	begin
	   fenpclk<=clkcount(1);
		if glrn='0' then
			clkcount<="00";
		elsif fosc ' event and fosc='1' then
			clkcount<=clkcount+1;
		end if;
	end process p8;

	-------------------------------------------------------------
	p9: process(glrn, fenpclk)
	begin
		if glrn='0' then
			f_command<="0000000";
		elsif fenpclk ' event and fenpclk='0' then
			f_command<=f_command+1;	
		end if;
	end process p9;	

	p11: process(glrn, fosc)
	begin
		if glrn='0' then
			f_s2wcount<=X"00000";
			f_s2<='0';
		elsif fosc ' event and fosc='1' then
				if s2='0' and f_s2wcount(19)='0' and f_s2='1' then
					f_s2wcount<=f_s2wcount+1;
					f_s2<='1';
				elsif s2='0' and f_s2wcount(19)='1' and f_s2='1' then
					f_s2<='0';
					f_s2wcount<=X"00000";
				elsif beiflag='1' and f_s2wcount(19)='0' and f_s2='0' then
					f_s2<='0';
					f_s2wcount<=f_s2wcount+1;
				elsif beiflag='1' and f_s2wcount(19)='1' and f_s2='0' then
					f_s2<='1';
					f_s2wcount<=X"00000";
            elsif beiflag='0' and f_s2='0' then
					f_s2<='0';
					f_s2wcount<=X"00000";
				elsif beiflag='1'	and f_s2='1' then
					f_s2<='1';
					f_s2wcount<=X"00000";
				else
					f_s2wcount<=X"00000";
				end if;
		end if;								   
	end process p11;
end Behavioral;
--

?? 快捷鍵說明

復(fù)制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號(hào) Ctrl + =
減小字號(hào) Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
欧美日韩中文另类| 国产不卡免费视频| 国产精品免费网站在线观看| 欧美少妇bbb| 成人午夜碰碰视频| 美女一区二区久久| 亚洲精品国产无天堂网2021| 欧洲一区二区av| 欧美精品一区二区蜜臀亚洲| 99精品视频一区| 久久99久久精品欧美| 亚洲五月六月丁香激情| 欧美国产综合色视频| 欧美一区二区播放| 欧美天堂亚洲电影院在线播放| 成人亚洲一区二区一| 久草精品在线观看| 天天影视涩香欲综合网| 亚洲综合免费观看高清完整版| 久久久久成人黄色影片| 日韩免费观看高清完整版| 欧美在线小视频| 一本到不卡免费一区二区| 成人一区二区三区在线观看| 国产在线精品一区二区不卡了 | 亚洲图片激情小说| 国产女同性恋一区二区| 欧美xingq一区二区| 日韩一区二区三区免费看| 91福利小视频| 欧美日韩视频在线第一区| 成人午夜在线视频| 国产盗摄精品一区二区三区在线 | 99久久国产免费看| 大陆成人av片| 国产成人亚洲综合a∨婷婷| 久久99国产乱子伦精品免费| 青青草精品视频| 欧美a级一区二区| 欧美精品乱人伦久久久久久| 成人午夜av影视| 亚洲午夜电影网| 91精选在线观看| 欧美高清dvd| 91麻豆精品久久久久蜜臀| 在线精品视频免费播放| 欧美综合一区二区| 欧美性三三影院| 欧美三级在线视频| 91超碰这里只有精品国产| 在线播放一区二区三区| 欧美一区二区三区视频| 欧美电影免费观看高清完整版在线观看 | 亚洲成人av电影在线| 香蕉成人伊视频在线观看| 日韩精品乱码免费| 六月丁香综合在线视频| 国产在线视频一区二区| 国产成人综合在线观看| av不卡免费在线观看| 色婷婷av一区二区三区gif | 欧美在线观看一二区| 91国产成人在线| 欧美精品在欧美一区二区少妇| 91精品一区二区三区在线观看| 日韩精品在线一区二区| 国产天堂亚洲国产碰碰| 亚洲男人的天堂在线观看| 亚洲成人精品一区二区| 裸体一区二区三区| 成人午夜看片网址| 在线观看视频一区二区| 欧美一区二区三级| 国产欧美一区二区精品秋霞影院| 亚洲欧洲av一区二区三区久久| 亚洲一区二区不卡免费| 免费视频一区二区| 丁香桃色午夜亚洲一区二区三区| 91视频观看免费| 日韩精品影音先锋| 国产精品传媒在线| 日韩专区一卡二卡| 成人午夜av电影| 欧美久久高跟鞋激| 日本一区二区三区dvd视频在线 | 日韩电影免费在线看| 国产乱码字幕精品高清av| 亚洲国产日韩综合久久精品| 日本欧美大码aⅴ在线播放| 懂色av一区二区三区蜜臀| 欧美丰满嫩嫩电影| 中文字幕av免费专区久久| 亚洲成av人片在www色猫咪| 国产一区二区在线观看免费| 欧美综合天天夜夜久久| 久久久精品蜜桃| 午夜精品免费在线观看| 99天天综合性| 精品国产区一区| 中文字幕一区二区三区四区不卡| 青青草97国产精品免费观看| 国产ts人妖一区二区| 在线播放中文字幕一区| 亚洲六月丁香色婷婷综合久久| 麻豆精品一区二区三区| 色婷婷综合久久久| 国产人久久人人人人爽| 免费在线视频一区| 欧洲一区二区三区在线| 中文字幕在线视频一区| 精品午夜一区二区三区在线观看| 欧美网站一区二区| 亚洲视频中文字幕| 国产福利一区二区三区视频在线| 欧美疯狂性受xxxxx喷水图片| 亚洲女同ⅹxx女同tv| 成人免费看黄yyy456| 精品福利在线导航| 喷白浆一区二区| 91精品国产综合久久久久久漫画 | 国产午夜一区二区三区| 久久国产精品99久久久久久老狼| 欧美视频在线播放| 亚洲毛片av在线| 成人美女在线观看| 国产视频一区在线观看| 狠狠色综合播放一区二区| 日韩一区二区电影| 青青草国产成人99久久| 欧美一区二区三区视频免费播放| 午夜精品视频在线观看| 欧美疯狂性受xxxxx喷水图片| 亚洲国产一区二区在线播放| 色婷婷久久久久swag精品| 亚洲天堂精品视频| 99久久伊人网影院| 一区精品在线播放| 91丨porny丨最新| 亚洲欧美国产77777| 在线观看国产91| 日韩电影在线一区| 91精品国产色综合久久ai换脸| 亚洲高清在线精品| 欧美日韩久久一区| 免费观看在线综合| 欧美成人r级一区二区三区| 久久91精品久久久久久秒播| 欧美大片在线观看一区| 国产中文字幕精品| 国产欧美精品在线观看| 99精品国产热久久91蜜凸| 亚洲免费在线观看| 欧美日韩一区二区三区在线看 | 国产成人精品www牛牛影视| 国产清纯白嫩初高生在线观看91| 国产亚洲成aⅴ人片在线观看| 欧美天天综合网| 午夜精品在线视频一区| 日韩欧美国产综合一区 | 五月天激情小说综合| 欧美乱妇15p| 国产一区二三区| 综合电影一区二区三区| 91在线视频官网| 亚洲综合区在线| 精品动漫一区二区三区在线观看 | 欧美制服丝袜第一页| 日本视频一区二区三区| 久久久www免费人成精品| 不卡av电影在线播放| 亚洲欧美色图小说| 欧美顶级少妇做爰| 国产乱码精品一区二区三区av| 国产精品高潮久久久久无| 欧美亚洲国产bt| 狠狠色狠狠色综合系列| 亚洲少妇最新在线视频| 在线观看91精品国产麻豆| 国产精品一区二区果冻传媒| 亚洲欧美一区二区三区国产精品| 日韩一区二区三区电影| 成人动漫一区二区三区| 丝袜亚洲另类丝袜在线| 欧美国产精品v| 在线不卡一区二区| 成人动漫精品一区二区| 免费成人在线视频观看| 国产精品久久久久aaaa| 欧美一区二区视频在线观看2020 | 国产精品77777| 亚洲一区成人在线| 精品电影一区二区三区 | 国产精品视频一二三区| 91成人在线观看喷潮| 国产乱子轮精品视频| 一区二区三区四区视频精品免费 | 久久久久亚洲综合| 欧美色国产精品| www.久久精品| 国产在线精品视频|