?? rece.vhf
字號(hào):
--------------------------------------------------------------------------------
-- Copyright (c) 1995-2003 Xilinx, Inc.
-- All Right Reserved.
--------------------------------------------------------------------------------
-- ____ ____
-- / /\/ /
-- /___/ \ / Vendor: Xilinx
-- \ \ \/ Version : 7.1i
-- \ \ Application : sch2vhdl
-- / / Filename : rece.vhf
-- /___/ /\ Timestamp : 10/14/2008 08:40:49
-- \ \ / \
-- \___\/\___\
--
--Command: D:/Xilinx/bin/nt/sch2vhdl.exe -intstyle ise -family spartan2e -flat -suppress -w rece.sch rece.vhf
--Design Name: rece
--Device: spartan2e
--Purpose:
-- This vhdl netlist is translated from an ECS schematic. It can be
-- synthesis and simulted, but it should not be modified.
--
library ieee;
use ieee.std_logic_1164.ALL;
use ieee.numeric_std.ALL;
-- synopsys translate_off
library UNISIM;
use UNISIM.Vcomponents.ALL;
-- synopsys translate_on
entity rece is
port ( fosc : in std_logic;
lock : in std_logic;
rclk : in std_logic;
rout : in std_logic_vector (17 downto 0);
den : out std_logic;
dout : out std_logic_vector (17 downto 0);
led1 : out std_logic;
line_le : out std_logic;
local_le : out std_logic;
refclk : out std_logic;
ren : out std_logic;
rpwdn : out std_logic;
tpwdn : out std_logic);
end rece;
architecture BEHAVIORAL of rece is
attribute BOX_TYPE : string ;
signal XLXN_3 : std_logic;
signal refclk_DUMMY : std_logic;
component clk60
port ( fosc : in std_logic;
fosc60m : out std_logic);
end component;
component rece18
port ( fosc60m : in std_logic;
rclk : in std_logic;
lock : in std_logic;
rout : in std_logic_vector (17 downto 0);
ren : out std_logic;
den : out std_logic;
rpwdn : out std_logic;
tpwdn : out std_logic;
line_le : out std_logic;
led1 : out std_logic;
local_le : out std_logic;
dout : out std_logic_vector (17 downto 0));
end component;
component IBUF
port ( I : in std_logic;
O : out std_logic);
end component;
attribute BOX_TYPE of IBUF : component is "BLACK_BOX";
begin
refclk <= refclk_DUMMY;
XLXI_6 : clk60
port map (fosc=>fosc,
fosc60m=>refclk_DUMMY);
XLXI_9 : rece18
port map (fosc60m=>refclk_DUMMY,
lock=>lock,
rclk=>XLXN_3,
rout(17 downto 0)=>rout(17 downto 0),
den=>den,
dout(17 downto 0)=>dout(17 downto 0),
led1=>led1,
line_le=>line_le,
local_le=>local_le,
ren=>ren,
rpwdn=>rpwdn,
tpwdn=>tpwdn);
XLXI_11 : IBUF
port map (I=>rclk,
O=>XLXN_3);
end BEHAVIORAL;
?? 快捷鍵說(shuō)明
復(fù)制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號(hào)
Ctrl + =
減小字號(hào)
Ctrl + -