亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關于我們
? 蟲蟲下載站

?? uart_top.v

?? UART串口的verilog源代碼
?? V
字號:
//////////////////////////////////////////////////////////////////////////                                                              ////////  uart_top.v                                                  ////////                                                              ////////                                                              ////////  This file is part of the "UART 16550 compatible" project    ////////  http://www.opencores.org/cores/uart16550/                   ////////                                                              ////////  Documentation related to this project:                      ////////  - http://www.opencores.org/cores/uart16550/                 ////////                                                              ////////  Projects compatibility:                                     ////////  - WISHBONE                                                  ////////  RS232 Protocol                                              ////////  16550D uart (mostly supported)                              ////////                                                              ////////  Overview (main Features):                                   ////////  UART core top level.                                        ////////                                                              ////////  Known problems (limits):                                    ////////  Note that transmitter and receiver instances are inside     ////////  the uart_regs.v file.                                       ////////                                                              ////////  To Do:                                                      ////////  Nothing so far.                                             ////////                                                              ////////  Author(s):                                                  ////////      - gorban@opencores.org                                  ////////      - Jacob Gorban                                          ////////      - Igor Mohor (igorm@opencores.org)                      ////////                                                              ////////  Created:        2001/05/12                                  ////////  Last Updated:   2001/05/17                                  ////////                  (See log for the revision history)          ////////                                                              ////////                                                              //////////////////////////////////////////////////////////////////////////////                                                              //////// Copyright (C) 2000, 2001 Authors                             ////////                                                              //////// This source file may be used and distributed without         //////// restriction provided that this copyright statement is not    //////// removed from the file and that any derivative work contains  //////// the original copyright notice and the associated disclaimer. ////////                                                              //////// This source file is free software; you can redistribute it   //////// and/or modify it under the terms of the GNU Lesser General   //////// Public License as published by the Free Software Foundation; //////// either version 2.1 of the License, or (at your option) any   //////// later version.                                               ////////                                                              //////// This source is distributed in the hope that it will be       //////// useful, but WITHOUT ANY WARRANTY; without even the implied   //////// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      //////// PURPOSE.  See the GNU Lesser General Public License for more //////// details.                                                     ////////                                                              //////// You should have received a copy of the GNU Lesser General    //////// Public License along with this source; if not, download it   //////// from http://www.opencores.org/lgpl.shtml                     ////////                                                              ////////////////////////////////////////////////////////////////////////////// CVS Revision History//// $Log: uart_top.v,v $// Revision 1.19  2002/07/29 21:16:18  gorban// The uart_defines.v file is included again in sources.//// Revision 1.18  2002/07/22 23:02:23  gorban// Bug Fixes://  * Possible loss of sync and bad reception of stop bit on slow baud rates fixed.//   Problem reported by Kenny.Tung.//  * Bad (or lack of ) loopback handling fixed. Reported by Cherry Withers.//// Improvements://  * Made FIFO's as general inferrable memory where possible.//  So on FPGA they should be inferred as RAM (Distributed RAM on Xilinx).//  This saves about 1/3 of the Slice count and reduces P&R and synthesis times.////  * Added optional baudrate output (baud_o).//  This is identical to BAUDOUT* signal on 16550 chip.//  It outputs 16xbit_clock_rate - the divided clock.//  It's disabled by default. Define UART_HAS_BAUDRATE_OUTPUT to use.//// Revision 1.17  2001/12/19 08:40:03  mohor// Warnings fixed (unused signals removed).//// Revision 1.16  2001/12/06 14:51:04  gorban// Bug in LSR[0] is fixed.// All WISHBONE signals are now sampled, so another wait-state is introduced on all transfers.//// Revision 1.15  2001/12/03 21:44:29  gorban// Updated specification documentation.// Added full 32-bit data bus interface, now as default.// Address is 5-bit wide in 32-bit data bus mode.// Added wb_sel_i input to the core. It's used in the 32-bit mode.// Added debug interface with two 32-bit read-only registers in 32-bit mode.// Bits 5 and 6 of LSR are now only cleared on TX FIFO write.// My small test bench is modified to work with 32-bit mode.//// Revision 1.14  2001/11/07 17:51:52  gorban// Heavily rewritten interrupt and LSR subsystems.// Many bugs hopefully squashed.//// Revision 1.13  2001/10/20 09:58:40  gorban// Small synopsis fixes//// Revision 1.12  2001/08/25 15:46:19  gorban// Modified port names again//// Revision 1.11  2001/08/24 21:01:12  mohor// Things connected to parity changed.// Clock devider changed.//// Revision 1.10  2001/08/23 16:05:05  mohor// Stop bit bug fixed.// Parity bug fixed.// WISHBONE read cycle bug fixed,// OE indicator (Overrun Error) bug fixed.// PE indicator (Parity Error) bug fixed.// Register read bug fixed.//// Revision 1.4  2001/05/31 20:08:01  gorban// FIFO changes and other corrections.//// Revision 1.3  2001/05/21 19:12:02  gorban// Corrected some Linter messages.//// Revision 1.2  2001/05/17 18:34:18  gorban// First 'stable' release. Should be sythesizable now. Also added new header.//// Revision 1.0  2001-05-17 21:27:12+02  jacob// Initial revision////// synopsys translate_off`include "timescale.v"// synopsys translate_on`include "uart_defines.v"module uart_top	(	wb_clk_i, 		// Wishbone signals	wb_rst_i, wb_adr_i, wb_dat_i, wb_dat_o, wb_we_i, wb_stb_i, wb_cyc_i, wb_ack_o, wb_sel_i,	int_o, // interrupt request	// UART	signals	// serial input/output	stx_pad_o, srx_pad_i,	// modem signals	rts_pad_o, cts_pad_i, dtr_pad_o, dsr_pad_i, ri_pad_i, dcd_pad_i`ifdef UART_HAS_BAUDRATE_OUTPUT	, baud_o`endif	);parameter 							 uart_data_width = `UART_DATA_WIDTH;parameter 							 uart_addr_width = `UART_ADDR_WIDTH;input 								 wb_clk_i;// WISHBONE interfaceinput 								 wb_rst_i;input [uart_addr_width-1:0] 	 wb_adr_i;input [uart_data_width-1:0] 	 wb_dat_i;output [uart_data_width-1:0] 	 wb_dat_o;input 								 wb_we_i;input 								 wb_stb_i;input 								 wb_cyc_i;input [3:0]							 wb_sel_i;output 								 wb_ack_o;output 								 int_o;// UART	signalsinput 								 srx_pad_i;output 								 stx_pad_o;output 								 rts_pad_o;input 								 cts_pad_i;output 								 dtr_pad_o;input 								 dsr_pad_i;input 								 ri_pad_i;input 								 dcd_pad_i;// optional baudrate output`ifdef UART_HAS_BAUDRATE_OUTPUToutput	baud_o;`endifwire 									 stx_pad_o;wire 									 rts_pad_o;wire 									 dtr_pad_o;wire [uart_addr_width-1:0] 	 wb_adr_i;wire [uart_data_width-1:0] 	 wb_dat_i;wire [uart_data_width-1:0] 	 wb_dat_o;wire [7:0] 							 wb_dat8_i; // 8-bit internal data inputwire [7:0] 							 wb_dat8_o; // 8-bit internal data outputwire [31:0] 						 wb_dat32_o; // debug interface 32-bit outputwire [3:0] 							 wb_sel_i;  // WISHBONE select signalwire [uart_addr_width-1:0] 	 wb_adr_int;wire 									 we_o;	// Write enable for registerswire		          	     re_o;	// Read enable for registers//// MODULE INSTANCES//`ifdef DATA_BUS_WIDTH_8`else// debug interface wireswire	[3:0] ier;wire	[3:0] iir;wire	[1:0] fcr;wire	[4:0] mcr;wire	[7:0] lcr;wire	[7:0] msr;wire	[7:0] lsr;wire	[`UART_FIFO_COUNTER_W-1:0] rf_count;wire	[`UART_FIFO_COUNTER_W-1:0] tf_count;wire	[2:0] tstate;wire	[3:0] rstate; `endif`ifdef DATA_BUS_WIDTH_8////  WISHBONE interface moduleuart_wb		wb_interface(		.clk(		wb_clk_i		),		.wb_rst_i(	wb_rst_i	),	.wb_dat_i(wb_dat_i),	.wb_dat_o(wb_dat_o),	.wb_dat8_i(wb_dat8_i),	.wb_dat8_o(wb_dat8_o),	 .wb_dat32_o(32'b0),								 	 .wb_sel_i(4'b0),		.wb_we_i(	wb_we_i		),		.wb_stb_i(	wb_stb_i	),		.wb_cyc_i(	wb_cyc_i	),		.wb_ack_o(	wb_ack_o	),	.wb_adr_i(wb_adr_i),	.wb_adr_int(wb_adr_int),		.we_o(		we_o		),		.re_o(re_o)		);`elseuart_wb		wb_interface(		.clk(		wb_clk_i		),		.wb_rst_i(	wb_rst_i	),	.wb_dat_i(wb_dat_i),	.wb_dat_o(wb_dat_o),	.wb_dat8_i(wb_dat8_i),	.wb_dat8_o(wb_dat8_o),	 .wb_sel_i(wb_sel_i),	 .wb_dat32_o(wb_dat32_o),								 		.wb_we_i(	wb_we_i		),		.wb_stb_i(	wb_stb_i	),		.wb_cyc_i(	wb_cyc_i	),		.wb_ack_o(	wb_ack_o	),	.wb_adr_i(wb_adr_i),	.wb_adr_int(wb_adr_int),		.we_o(		we_o		),		.re_o(re_o)		);`endif// Registersuart_regs	regs(	.clk(		wb_clk_i		),	.wb_rst_i(	wb_rst_i	),	.wb_addr_i(	wb_adr_int	),	.wb_dat_i(	wb_dat8_i	),	.wb_dat_o(	wb_dat8_o	),	.wb_we_i(	we_o		),   .wb_re_i(re_o),	.modem_inputs(	{cts_pad_i, dsr_pad_i,	ri_pad_i,  dcd_pad_i}	),	.stx_pad_o(		stx_pad_o		),	.srx_pad_i(		srx_pad_i		),`ifdef DATA_BUS_WIDTH_8`else// debug interface signals	enabled.ier(ier), .iir(iir), .fcr(fcr), .mcr(mcr), .lcr(lcr), .msr(msr), .lsr(lsr), .rf_count(rf_count),.tf_count(tf_count),.tstate(tstate),.rstate(rstate),`endif					  	.rts_pad_o(		rts_pad_o		),	.dtr_pad_o(		dtr_pad_o		),	.int_o(		int_o		)`ifdef UART_HAS_BAUDRATE_OUTPUT	, .baud_o(baud_o)`endif);`ifdef DATA_BUS_WIDTH_8`elseuart_debug_if dbg(/*AUTOINST*/						// Outputs						.wb_dat32_o				 (wb_dat32_o[31:0]),						// Inputs						.wb_adr_i				 (wb_adr_int[`UART_ADDR_WIDTH-1:0]),						.ier						 (ier[3:0]),						.iir						 (iir[3:0]),						.fcr						 (fcr[1:0]),						.mcr						 (mcr[4:0]),						.lcr						 (lcr[7:0]),						.msr						 (msr[7:0]),						.lsr						 (lsr[7:0]),						.rf_count				 (rf_count[`UART_FIFO_COUNTER_W-1:0]),						.tf_count				 (tf_count[`UART_FIFO_COUNTER_W-1:0]),						.tstate					 (tstate[2:0]),						.rstate					 (rstate[3:0]));`endif initialbegin	`ifdef DATA_BUS_WIDTH_8		$display("(%m) UART INFO: Data bus width is 8. No Debug interface.\n");	`else		$display("(%m) UART INFO: Data bus width is 32. Debug Interface present.\n");	`endif	`ifdef UART_HAS_BAUDRATE_OUTPUT		$display("(%m) UART INFO: Has baudrate output\n");	`else		$display("(%m) UART INFO: Doesn't have baudrate output\n");	`endifendendmodule

?? 快捷鍵說明

復制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
国产在线观看一区二区| 欧美国产乱子伦| 青青草原综合久久大伊人精品优势| 欧美日韩五月天| 午夜激情综合网| 欧美一卡2卡三卡4卡5免费| 麻豆一区二区三| 久久久精品免费免费| 成人av资源网站| 尤物av一区二区| 欧美一级久久久久久久大片| 久久99国产精品尤物| 欧美高清在线一区二区| gogo大胆日本视频一区| 亚洲国产成人av网| 精品国产91乱码一区二区三区 | 国产老肥熟一区二区三区| 久久久一区二区三区| 99在线精品视频| 亚洲国产视频在线| 欧美精品一区二| 一本到高清视频免费精品| 奇米精品一区二区三区在线观看 | 精品剧情v国产在线观看在线| 国产一区二区三区精品欧美日韩一区二区三区 | 97精品国产97久久久久久久久久久久| 亚洲综合在线视频| www精品美女久久久tv| 91麻豆福利精品推荐| 六月婷婷色综合| 一区二区三区在线看| 精品国产a毛片| 欧美视频日韩视频| 成人一级片在线观看| 日韩影院精彩在线| 中文字幕亚洲区| 欧美一级日韩免费不卡| 99在线热播精品免费| 日韩精品久久久久久| 亚洲欧洲av在线| 日韩欧美的一区| 欧美三日本三级三级在线播放| 国产伦理精品不卡| 日韩黄色片在线观看| 亚洲欧美日韩国产成人精品影院 | 色女孩综合影院| 紧缚捆绑精品一区二区| 一区二区三区.www| 国产精品热久久久久夜色精品三区| 在线观看视频一区二区| 美腿丝袜在线亚洲一区| 亚洲激情自拍偷拍| 国产午夜亚洲精品羞羞网站| 欧美日韩久久一区| 色激情天天射综合网| 盗摄精品av一区二区三区| 日日摸夜夜添夜夜添精品视频 | 91.com视频| 在线观看国产91| 91在线视频在线| 国产aⅴ精品一区二区三区色成熟| 男男视频亚洲欧美| 亚洲欧美成人一区二区三区| 国产精品久久三区| 久久久精品人体av艺术| 精品久久人人做人人爱| 欧美一区午夜精品| 在线综合视频播放| 欧美日韩一区二区三区在线| 91在线视频播放| 波多野结衣欧美| 成人av电影在线网| 激情小说亚洲一区| 五月综合激情网| 性做久久久久久久久| 一区二区在线观看免费视频播放| 亚洲欧美影音先锋| 国产精品欧美一级免费| 中文字幕亚洲一区二区va在线| 国产精品成人免费精品自在线观看 | 一区二区三区在线免费观看| 亚洲精品你懂的| 亚洲国产综合在线| 热久久免费视频| 久久成人综合网| 国产在线播精品第三| 国产一区二区三区蝌蚪| 国产一区二区三区免费观看| 国产一区福利在线| av在线综合网| 一本色道**综合亚洲精品蜜桃冫| 91国产视频在线观看| 欧美日韩国产天堂| 欧美一级高清大全免费观看| 精品福利一二区| 国产精品视频免费| 亚洲一二三专区| 蜜臀精品久久久久久蜜臀| 捆绑调教一区二区三区| 国产麻豆一精品一av一免费 | 视频一区在线视频| 紧缚捆绑精品一区二区| 成人精品一区二区三区四区| 色素色在线综合| 日韩一级片在线播放| 国产欧美综合色| 亚洲影视在线播放| 精品一区二区三区免费| 91在线国内视频| 7878成人国产在线观看| 国产三级久久久| 亚洲制服丝袜一区| 国产毛片精品视频| 91久久奴性调教| 精品国产青草久久久久福利| 中文字幕一区二区三区四区不卡| 无码av中文一区二区三区桃花岛| 蜜臀av一区二区在线观看| aaa亚洲精品一二三区| 3d成人h动漫网站入口| 日本一二三四高清不卡| 日韩精品三区四区| 99久免费精品视频在线观看| 日韩一区二区三免费高清| 国产精品视频一区二区三区不卡| 性做久久久久久免费观看欧美| 大白屁股一区二区视频| 69精品人人人人| 亚洲婷婷国产精品电影人久久| 蜜臀精品一区二区三区在线观看 | 欧美吻胸吃奶大尺度电影 | 日韩1区2区日韩1区2区| 波波电影院一区二区三区| 欧美本精品男人aⅴ天堂| 亚洲香肠在线观看| 成人精品一区二区三区四区| 欧美一区二区免费视频| 亚洲乱码中文字幕| 国产黄色精品网站| 日韩一区二区三区观看| 亚洲精品你懂的| av在线不卡免费看| 欧美精品一区二区三区视频| 亚洲成av人在线观看| 99re6这里只有精品视频在线观看 99re8在线精品视频免费播放 | 在线日韩一区二区| 久久久不卡网国产精品一区| 亚洲国产一区二区三区青草影视| 国产经典欧美精品| 日韩精品中文字幕在线一区| 亚洲国产wwwccc36天堂| 一本大道久久精品懂色aⅴ| 国产精品每日更新| 国产乱码精品一区二区三区av | 色婷婷精品久久二区二区蜜臂av| 国产亚洲欧美色| 久久精品国产99久久6| 欧美一区二区在线免费播放| 一区二区三区精品在线| 99综合电影在线视频| 国产精品久久三区| fc2成人免费人成在线观看播放| 国产欧美日韩精品在线| 国产成人在线视频播放| 国产清纯白嫩初高生在线观看91| 国产一区二区在线观看视频| 欧美mv日韩mv国产| 麻豆视频一区二区| 日韩限制级电影在线观看| 免费在线一区观看| 欧美xfplay| 国产成人免费视频| 国产日韩精品一区| 成人av网站在线| 一区二区三区四区av| 欧美做爰猛烈大尺度电影无法无天| 亚洲精品日产精品乱码不卡| 91久久一区二区| 日韩经典中文字幕一区| 日韩精品资源二区在线| 国产乱子伦一区二区三区国色天香| 国产校园另类小说区| 福利电影一区二区| 国产精品看片你懂得| 成人激情视频网站| 亚洲精品成a人| 51精品秘密在线观看| 精品无人区卡一卡二卡三乱码免费卡| 精品国产一区二区精华| 丰满少妇在线播放bd日韩电影| 国产精品久久久久久久久免费丝袜| 99久久久国产精品免费蜜臀| 亚洲影院理伦片| 91精品国产日韩91久久久久久| 久久99精品国产.久久久久| 欧美国产欧美综合| 欧美在线一区二区| 狠狠色狠狠色合久久伊人| 国产精品三级视频| 色先锋久久av资源部|