?? lut_odd.vhd
字號:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_signed.all;
entity LUT_Odd is
generic (
WIDTH : integer;
AW : integer);
port (
AddrxDIO : in std_logic_vector( AW-1 downto 0);
DataxDOO : out std_logic_vector( WIDTH-1 downto 0));
end LUT_Odd;
architecture behav of LUT_Odd is
type ROM_Array is array (0 to 722)
of std_logic_vector(11 downto 0);
constant content : ROM_Array := (
B"100000000000",
B"100000000001",
B"100000000010",
B"100000000011",
B"100000000100",
B"100000000101",
B"100000000110",
B"100000000111",
B"100000001000",
B"100000001001",
B"100000001010",
B"100000001011",
B"100000001100",
B"100000001101",
B"100000001110",
B"100000001111",
B"100000010000",
B"100000010001",
B"100000010010",
B"100000010011",
B"100000010100",
B"100000010101",
B"100000010110",
B"100000010111",
B"100000011000",
B"100000011001",
B"100000011010",
B"100000011011",
B"100000011100",
B"100000011101",
B"100000011110",
B"100000011111",
B"100000100000",
B"100000100001",
B"100000100010",
B"100000100011",
B"100000100100",
B"100000100101",
B"100000100110",
B"100000100111",
B"100000101000",
B"100000101001",
B"100000101010",
B"100000101011",
B"100000101100",
B"100000101101",
B"100000101110",
B"100000101111",
B"100000110000",
B"100000110001",
B"100000110010",
B"100000110011",
B"100000110100",
B"100000110101",
B"100000110110",
B"100000110111",
B"100000111000",
B"100000111001",
B"100000111010",
B"100000111011",
B"100000111100",
B"100000111101",
B"100000111110",
B"100000111111",
B"100001000000",
B"100001000001",
B"100001000010",
B"100001000011",
B"100001000100",
B"100001000101",
B"100001000110",
B"100001000111",
B"100001001000",
B"100001001001",
B"100001001010",
B"100001001011",
B"100001001100",
B"100001001101",
B"100001001110",
B"100001001111",
B"100001010000",
B"100001010001",
B"100001010010",
B"100001010011",
B"100001010100",
B"100001010101",
B"100001010110",
B"100001010111",
B"100001011000",
B"100001011001",
B"100001011010",
B"100001011011",
B"100001011100",
B"100001011101",
B"100001011110",
B"100001011111",
B"100001101000",
B"100001100001",
B"100001100010",
B"100001100011",
B"100001100100",
B"100001100101",
B"100001100110",
B"100001100111",
B"100001100000",
B"100001101001",
B"100001101010",
B"100001101011",
B"100001101100",
B"100001101101",
B"100001101110",
B"100001101111",
B"100001111000",
B"100001110001",
B"100001110010",
B"100001110011",
B"100001110100",
B"100001110101",
B"100001110110",
B"100001110111",
B"100001110000",
B"100001110001",
B"100001111010",
B"100001111011",
B"100001111100",
B"100001111101",
B"100001111110",
B"100001111111",
B"100010000000",
B"100010000001",
B"100010000010",
B"100010000011",
B"100010000100",
B"100010000101",
B"100010000110",
B"100010000111",
B"100010001000",
B"100010001001",
B"100010001010",
B"100010001011",
B"100010001100",
B"100010001101",
B"100010001110",
B"100010001111",
B"100010010000",
B"100010010001",
B"100010010010",
B"100010010011",
B"100010010100",
B"100010010101",
B"100010010110",
B"100010010111",
B"100010011000",
B"100010011001",
B"100010011010",
B"100010011011",
B"100010011100",
B"100010011101",
B"100010011110",
B"100010011111",
B"100010100000",
B"100010100001",
B"100010100010",
B"100010100011",
B"100010100100",
B"100010100101",
B"100010100110",
B"100010100111",
B"100010101000",
B"100010101001",
B"100010101010",
B"100010101011",
B"100010101100",
B"100010101101",
B"100010101110",
B"100010101111",
B"100010110000",
B"100010110001",
B"100010110010",
B"100010110011",
B"100010110100",
B"100010110100",
B"100010110011",
B"100010110010",
B"100010110001",
B"100010110000",
B"100010101111",
B"100010101110",
B"100010101101",
B"100010101100",
B"100010101011",
B"100010101010",
B"100010101001",
B"100010101000",
B"100010100111",
B"100010100110",
B"100010100101",
B"100010100100",
B"100010100011",
B"100010100010",
B"100010100001",
B"100010100000",
B"100010011111",
B"100010011110",
B"100010011101",
B"100010011100",
B"100010011011",
B"100010011010",
B"100010011001",
B"100010011000",
B"100010010111",
B"100010010110",
B"100010010101",
B"100010010100",
B"100010010011",
B"100010010010",
B"100010010001",
B"100010010000",
B"100010001111",
B"100010001110",
B"100010001101",
B"100010001100",
B"100010001011",
B"100010001010",
B"100010001001",
B"100010001000",
B"100010000111",
B"100010000110",
B"100010000101",
B"100010000100",
B"100010000011",
B"100010000010",
B"100010000001",
B"100010000000",
B"100001111111",
B"100001111110",
B"100001111101",
B"100001111100",
B"100001111011",
B"100001111010",
B"100001111000",
B"100001110111",
B"100001110110",
B"100001110101",
B"100001110100",
B"100001110011",
B"100001110010",
B"100001110001",
B"100001110001",
B"100001110000",
B"100001101111",
B"100001101110",
B"100001101101",
B"100001101100",
B"100001101011",
B"100001101010",
B"100001101001",
B"100001101000",
B"100001100111",
B"100001100110",
B"100001100101",
B"100001100100",
B"100001100011",
B"100001100010",
B"100001100001",
B"100001100000",
B"100001011111",
B"100001011110",
B"100001011101",
B"100001011100",
B"100001011011",
B"100001011010",
B"100001011001",
B"100001011000",
B"100001010111",
B"100001010110",
B"100001010101",
B"100001010100",
B"100001010011",
B"100001010010",
B"100001010001",
B"100001010000",
B"100001001111",
B"100001001110",
B"100001001101",
B"100001001100",
B"100001001011",
B"100001001010",
B"100001001001",
B"100001001000",
B"100001000111",
B"100001000110",
B"100001000101",
B"100001000100",
B"100001000011",
B"100001000010",
B"100001000001",
B"100001000000",
B"100000111111",
B"100000111110",
B"100000111101",
B"100000111100",
B"100000111011",
B"100000111010",
B"100000111001",
B"100000111000",
B"100000110111",
B"100000110110",
B"100000110101",
B"100000110100",
B"100000110011",
B"100000110010",
B"100000110001",
B"100000110000",
B"100000101111",
B"100000101110",
B"100000101101",
B"100000101100",
B"100000101011",
B"100000101010",
B"100000101001",
B"100000101000",
B"100000100111",
B"100000100110",
B"100000100101",
B"100000100100",
B"100000100011",
B"100000100010",
B"100000100001",
B"100000100000",
B"100000011111",
B"100000011110",
B"100000011101",
B"100000011100",
B"100000011011",
B"100000011010",
B"100000011001",
B"100000011000",
B"100000010111",
B"100000010110",
B"100000010101",
B"100000010100",
B"100000010011",
B"100000010010",
B"100000010001",
B"100000010000",
B"100000001111",
B"100000001110",
B"100000001101",
B"100000001100",
B"100000001011",
B"100000001010",
B"100000001001",
B"100000001000",
B"100000000111",
B"100000000110",
B"100000000101",
B"100000000100",
B"100000000011",
B"100000000010",
B"100000000001",
B"100000000000",
B"000000000000",
B"000000000001",
B"000000000010",
B"000000000011",
B"000000000100",
B"000000000101",
B"000000000110",
B"000000000111",
B"000000001000",
B"000000001001",
B"000000001010",
B"000000001011",
B"000000001100",
B"000000001101",
B"000000001110",
B"000000001111",
B"000000010000",
B"000000010001",
B"000000010010",
B"000000010011",
B"000000010100",
B"000000010101",
B"000000010110",
B"000000010111",
B"000000011000",
B"000000011001",
B"000000011010",
B"000000011011",
B"000000011100",
B"000000011101",
B"000000011110",
B"000000011111",
B"000000100000",
B"000000100001",
B"000000100010",
B"000000100011",
B"000000100100",
B"000000100101",
B"000000100110",
B"000000100111",
B"000000101000",
B"000000101001",
B"000000101010",
B"000000101011",
B"000000101100",
B"000000101101",
B"000000101110",
B"000000101111",
B"000000110000",
B"000000110001",
B"000000110010",
B"000000110011",
B"000000110100",
B"000000110101",
B"000000110110",
B"000000110111",
B"000000111000",
B"000000111001",
B"000000111010",
B"000000111011",
B"000000111100",
B"000000111101",
B"000000111110",
B"000000111111",
B"000001000000",
B"000001000001",
B"000001000010",
B"000001000011",
B"000001000100",
B"000001000101",
B"000001000110",
B"000001000111",
B"000001001000",
B"000001001001",
B"000001001010",
B"000001001011",
B"000001001100",
B"000001001101",
B"000001001110",
B"000001001111",
B"000001010000",
B"000001010001",
B"000001010010",
B"000001010011",
B"000001010100",
B"000001010101",
B"000001010110",
B"000001010111",
B"000001011000",
B"000001011001",
B"000001011010",
B"000001011011",
B"000001011100",
B"000001011101",
B"000001011110",
B"000001011111",
B"000001101000",
B"000001100001",
B"000001100010",
B"000001100011",
B"000001100100",
B"000001100101",
B"000001100110",
B"000001100111",
B"000001100000",
B"000001101001",
B"000001101010",
B"000001101011",
B"000001101100",
B"000001101101",
B"000001101110",
B"000001101111",
B"000001111000",
B"000001110001",
B"000001110010",
B"000001110011",
B"000001110100",
B"000001110101",
B"000001110110",
B"000001110111",
B"000001110000",
B"000001110001",
B"000001111010",
B"000001111011",
B"000001111100",
B"000001111101",
B"000001111110",
B"000001111111",
B"000010000000",
B"000010000001",
B"000010000010",
B"000010000011",
B"000010000100",
B"000010000101",
B"000010000110",
B"000010000111",
B"000010001000",
B"000010001001",
B"000010001010",
B"000010001011",
B"000010001100",
B"000010001101",
B"000010001110",
B"000010001111",
B"000010010000",
B"000010010001",
B"000010010010",
B"000010010011",
B"000010010100",
B"000010010101",
B"000010010110",
B"000010010111",
B"000010011000",
B"000010011001",
B"000010011010",
B"000010011011",
B"000010011100",
B"000010011101",
B"000010011110",
B"000010011111",
B"000010100000",
B"000010100001",
B"000010100010",
B"000010100011",
B"000010100100",
B"000010100101",
B"000010100110",
B"000010100111",
B"000010101000",
B"000010101001",
B"000010101010",
B"000010101011",
B"000010101100",
B"000010101101",
B"000010101110",
B"000010101111",
B"000010110000",
B"000010110001",
B"000010110010",
B"000010110011",
B"000010110100",
B"000010110011",
B"000010110010",
B"000010110001",
B"000010110000",
B"000010101111",
B"000010101110",
B"000010101101",
B"000010101100",
B"000010101011",
B"000010101010",
B"000010101001",
B"000010101000",
B"000010100111",
B"000010100110",
B"000010100101",
B"000010100100",
B"000010100011",
B"000010100010",
B"000010100001",
B"000010100000",
B"000010011111",
B"000010011110",
B"000010011101",
B"000010011100",
B"000010011011",
B"000010011010",
B"000010011001",
B"000010011000",
B"000010010111",
B"000010010110",
B"000010010101",
B"000010010100",
B"000010010011",
B"000010010010",
B"000010010001",
B"000010010000",
B"000010001111",
B"000010001110",
B"000010001101",
B"000010001100",
B"000010001011",
B"000010001010",
B"000010001001",
B"000010001000",
B"000010000111",
B"000010000110",
B"000010000101",
B"000010000100",
B"000010000011",
B"000010000010",
B"000010000001",
B"000010000000",
B"000001111111",
B"000001111110",
B"000001111101",
B"000001111100",
B"000001111011",
B"000001111010",
B"000001111000",
B"000001110111",
B"000001110110",
B"000001110101",
B"000001110100",
B"000001110011",
B"000001110010",
B"000001110001",
B"000001110001",
B"000001110000",
B"000001101111",
B"000001101110",
B"000001101101",
B"000001101100",
B"000001101011",
B"000001101010",
B"000001101001",
B"000001101000",
B"000001100111",
B"000001100110",
B"000001100101",
B"000001100100",
B"000001100011",
B"000001100010",
B"000001100001",
B"000001100000",
B"000001011111",
B"000001011110",
B"000001011101",
B"000001011100",
B"000001011011",
B"000001011010",
B"000001011001",
B"000001011000",
B"000001010111",
B"000001010110",
B"000001010101",
B"000001010100",
B"000001010011",
B"000001010010",
B"000001010001",
B"000001010000",
B"000001001111",
B"000001001110",
B"000001001101",
B"000001001100",
B"000001001011",
B"000001001010",
B"000001001001",
B"000001001000",
B"000001000111",
B"000001000110",
B"000001000101",
B"000001000100",
B"000001000011",
B"000001000010",
B"000001000001",
B"000001000000",
B"000000111111",
B"000000111110",
B"000000111101",
B"000000111100",
B"000000111011",
B"000000111010",
B"000000111001",
B"000000111000",
B"000000110111",
B"000000110110",
B"000000110101",
B"000000110100",
B"000000110011",
B"000000110010",
B"000000110001",
B"000000110000",
B"000000101111",
B"000000101110",
B"000000101101",
B"000000101100",
B"000000101011",
B"000000101010",
B"000000101001",
B"000000101000",
B"000000100111",
B"000000100110",
B"000000100101",
B"000000100100",
B"000000100011",
B"000000100010",
B"000000100001",
B"000000100000",
B"000000011111",
B"000000011110",
B"000000011101",
B"000000011100",
B"000000011011",
B"000000011010",
B"000000011001",
B"000000011000",
B"000000010111",
B"000000010110",
B"000000010101",
B"000000010100",
B"000000010011",
B"000000010010",
B"000000010001",
B"000000010000",
B"000000001111",
B"000000001110",
B"000000001101",
B"000000001100",
B"000000001011",
B"000000001010",
B"000000001001",
B"000000001000",
B"000000000111",
B"000000000110",
B"000000000101",
B"000000000100",
B"000000000011",
B"000000000010",
B"000000000001",
B"000000000000",
others => B"000000000000" );
begin
DataxDOO <= content(conv_integer(AddrxDIO));
end behav;
?? 快捷鍵說明
復制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號
Ctrl + =
減小字號
Ctrl + -