?? division.vhd
字號:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
entity division is
port(
div_in:in std_logic;
div_cnt:in unsigned(7 downto 0);
div_out:out std_logic);
end division;
architecture behav of division is
begin
process
variable cnt:unsigned(7 downto 0);
begin
wait until div_in'event and div_in='1';
cnt:=cnt+1;
if cnt=div_cnt then
div_out<='1';
cnt:="00000000";
else
div_out<='0';
end if;
end process;
end behav;
?? 快捷鍵說明
復制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號
Ctrl + =
減小字號
Ctrl + -