亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來(lái)到蟲(chóng)蟲(chóng)下載站! | ?? 資源下載 ?? 資源專(zhuān)輯 ?? 關(guān)于我們
? 蟲(chóng)蟲(chóng)下載站

?? cfft.vhd

?? fpga實(shí)現(xiàn)OFDM的源代碼 并且配有各個(gè)部分的詳細(xì)說(shuō)明
?? VHD
字號(hào):
------------------------------------------------------------------------------------------------------- Title       : cfft-- Design      : cfft-- Author      : ZHAO Ming-- email        : sradio@opencores.org--------------------------------------------------------------------------------------------------------- File        : cfft.vhd-- Generated   : Thu Oct  3 03:03:58 2002--------------------------------------------------------------------------------------------------------- Description : radix 4 1024 point FFT input 12 bit Output 14 bit with --               limit and overfall processing internal----              The gain is 0.0287 for FFT and 29.4 for IFFT----                              The output is 4-based reversed ordered, it means--                              a0a1a2a3a4a5a6a7a8a9 => a8a9a6a7a4a5aa2a3a0a1--                              ------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------ port :--                      clk : main clk          -- I have test 90M with Xilinx virtex600E--                      rst : globe reset   -- '1' for reset--                      start : start fft       -- one clock '1' before data input--                      invert : '0' for fft and '1' for ifft, it is sampled when start is '1' --                      Iin,Qin : data input-- following start immediately, input data--                              -- power should not be too big--                      inputbusy : if it change to '0' then next fft is enable--                      outdataen : when it is '1', the valid data is output--                      Iout,Qout : fft data output when outdataen is '1'                                                                      --------------------------------------------------------------------------------------------------------- Revisions       :    0-- Revision Number :    1-- Version         :    1.1.0-- Date            :    Oct 17 2002-- Modifier        :    ZHAO Ming -- Desccription    :    Data width configurable --------------------------------------------------------------------------------------------------------- Revisions       :    0-- Revision Number :    2-- Version         :    1.2.0-- Date            :    Oct 18 2002-- Modifier        :    ZHAO Ming -- Desccription    :    Point configurable--                      FFT Gain                IFFT GAIN--                               256    0.0698                  17.9--                              1024    0.0287                  29.4--                              4096    0.0118                  48.2742--                   --------------------------------------------------------------------------------------------------------- Revisions       :    0-- Revision Number :    3-- Version         :    1.3.0-- Date            :    Nov 19 2002-- Modifier        :    ZHAO Ming -- Desccription    :    add output data position indication --                   -----------------------------------------------------------------------------------------------------    library IEEE;  use IEEE.STD_LOGIC_1164.all;  use IEEE.STD_LOGIC_ARITH.all;  use IEEE.STD_LOGIC_UNSIGNED.all;  entity cfft is    generic (	   Tx_nRx : natural :=1; -- tx = 1, rx = 0      WIDTH : natural := 12;      POINT : natural := 64;      STAGE : natural := 3              -- STAGE=log4(POINT)      );    port(      rst         : in  std_logic;      Iin         : in  std_logic_vector(WIDTH-1 downto 0);      Qin         : in  std_logic_vector(WIDTH-1 downto 0);      Iout        : out std_logic_vector(WIDTH+1 downto 0);      Qout        : out std_logic_vector(WIDTH+1 downto 0);      factorstart : in  std_logic;      cfft4start  : in  std_logic;      ClkIn : in std_logic;      sel_mux     : in std_logic;      inv         : in std_logic;      wen_in     : in std_logic;      addrin_in  : in std_logic_vector(2*stage-Tx_nRx downto 0);      addrout_in : in std_logic_vector(2*stage-Tx_nRx downto 0);      wen_proc     : in std_logic;      addrin_proc  : in std_logic_vector(2*stage-1 downto 0);      addrout_proc : in std_logic_vector(2*stage-1 downto 0);      wen_out     : in std_logic;      addrin_out  : in std_logic_vector(2*stage-1 downto 0);      addrout_out : in std_logic_vector(2*stage-1 downto 0));  end cfft;  architecture cfft of cfft is    component mux      generic (        width : natural);      port (        inRa : in  std_logic_vector(WIDTH-1 downto 0);        inIa : in  std_logic_vector(WIDTH-1 downto 0);        inRb : in  std_logic_vector(WIDTH-1 downto 0);        inIb : in  std_logic_vector(WIDTH-1 downto 0);        outR : out std_logic_vector(WIDTH-1 downto 0);        outI : out std_logic_vector(WIDTH-1 downto 0);		  clk  : in  std_logic;        sel  : in  std_logic);    end component;    component conj      generic (        width : natural);      port (		  inR : in  std_logic_vector(WIDTH-1 downto 0);        inI : in  std_logic_vector(WIDTH-1 downto 0);        outR : out std_logic_vector(WIDTH-1 downto 0);        outI : out std_logic_vector(WIDTH-1 downto 0);		  clk  : in  std_logic;        conj  : in  std_logic);    end component;    component ram      generic (        width      : natural;        depth      : natural;        Addr_width : natural);      port (        clkin   : in  std_logic;        wen     : in  std_logic;        addrin  : in  std_logic_vector(Addr_width-1 downto 0);        dinR    : in  std_logic_vector(width-1 downto 0);        dinI    : in  std_logic_vector(width-1 downto 0);        clkout  : in  std_logic;        addrout : in  std_logic_vector(Addr_width-1 downto 0);        doutR   : out std_logic_vector(width-1 downto 0);        doutI   : out std_logic_vector(width-1 downto 0));    end component;    component cfft4      generic (        width : natural        );      port(        clk   : in  std_logic;        rst   : in  std_logic;        start : in  std_logic;		  invert : in std_logic;        I     : in  std_logic_vector(WIDTH-1 downto 0);        Q     : in  std_logic_vector(WIDTH-1 downto 0);        Iout  : out std_logic_vector(WIDTH+1 downto 0);        Qout  : out std_logic_vector(WIDTH+1 downto 0)        );    end component;    component div4limit      generic (        WIDTH : natural        );      port(        clk : in  std_logic;        D   : in  std_logic_vector(WIDTH+3 downto 0);        Q   : out std_logic_vector(WIDTH-1 downto 0)        );    end component;    component mulfactor      generic (        WIDTH : natural;        STAGE : natural        );      port(        clk   : in  std_logic;        rst   : in  std_logic;        angle : in  signed(2*STAGE-1 downto 0);        I     : in  signed(WIDTH+1 downto 0);        Q     : in  signed(WIDTH+1 downto 0);        Iout  : out signed(WIDTH+3 downto 0);        Qout  : out signed(WIDTH+3 downto 0)        );    end component;    component rofactor      generic (        POINT : natural;        STAGE : natural        );      port(        clk   : in  std_logic;        rst   : in  std_logic;        start : in  std_logic;		  invert : in std_logic;        angle : out std_logic_vector(2*STAGE-1 downto 0)        );    end component;     component blockdram      generic (        depth  : natural;        Dwidth : natural;        Awidth : natural);      port (        clkin   : in  std_logic;        wen     : in  std_logic;        addrin  : in  std_logic_vector(Awidth-1 downto 0);        din     : in  std_logic_vector(Dwidth-1 downto 0);        clkout  : in  std_logic;        addrout : in  std_logic_vector(Awidth-1 downto 0);        dout    : out std_logic_vector(Dwidth-1 downto 0));    end component;          signal MuxInRa, MuxInIa, MuxInRb, MuxInIb : std_logic_vector(WIDTH-1 downto 0)    := (others  => '0');    signal conjInR, conjInI                   : std_logic_vector(WIDTH-1 downto 0)    := (others  => '0');          signal cfft4InR, cfft4InI                 : std_logic_vector(WIDTH-1 downto 0)    := (others  => '0');    signal cfft4outR, cfft4outI               : std_logic_vector(WIDTH+1 downto 0)    := (others  => '0');    signal MulOutR, MulOutI                   : signed(WIDTH+3 downto 0)              := (others  => '0');    signal fftR, fftI                         : std_logic_vector(WIDTH-1 downto 0)    := (others  => '0');    signal angle                              : std_logic_vector(2*STAGE-1 downto 0 ) := ( others => '0');	 signal invert : std_logic;  beginTX:if Tx_nRx = 1 generate    RamIn : ram      generic map (        width      => WIDTH,        depth      => POINT,        Addr_width => 2*STAGE)      port map (        clkin   => ClkIn,        wen     => wen_in,        addrin  => addrin_in,        dinR    => Iin,        dinI    => Qin,        clkout  => ClkIn,        addrout => addrout_in,        doutR   => MuxInRa,        doutI   => MuxInIa);	 RamOut : ram      generic map (        width      => WIDTH+2,        depth      => POINT,        Addr_width => 2*STAGE)      port map (        clkin   => ClkIn,        wen     => wen_out,        addrin  => addrin_out,        dinR    => cfft4outR,        dinI    => cfft4outR,        clkout  => ClkIn,        addrout => addrout_out,        doutR   => Iout,        doutI   => open);end generate;RX:if Tx_nRx = 0 generate    RamIn : ram      generic map (        width      => WIDTH,        depth      => 2*POINT,        Addr_width => 2*STAGE+1)      port map (        clkin   => ClkIn,        wen     => wen_in,        addrin  => addrin_in,        dinR    => Iin,        dinI    => Qin,        clkout  => ClkIn,        addrout => addrout_in,        doutR   => MuxInRa,        doutI   => open);        MuxinIa <= (others => '0');	 RamOut : ram      generic map (        width      => WIDTH+2,        depth      => POINT,        Addr_width => 2*STAGE)      port map (        clkin   => ClkIn,        wen     => wen_out,        addrin  => addrin_out,        dinR    => cfft4outR,        dinI    => cfft4outR,        clkout  => ClkIn,        addrout => addrout_out,        doutR   => Iout,        doutI   => Qout);end generate;    RamProc : ram      generic map (        width      => WIDTH,        depth      => POINT,        Addr_width => 2*STAGE)      port map (        clkin   => ClkIn,        wen     => wen_proc,        addrin  => addrin_proc,        dinR    => fftR,        dinI    => fftI,        clkout  => ClkIn,        addrout => addrout_proc,        doutR   => MuxInRb,        doutI   => MuxInIb);    mux_1 : mux      generic map (        width => width)      port map (        inRa => MuxInRa,        inIa => MuxInIa,        inRb => MuxInRb,        inIb => MuxInIb,        outR => conjInR,        outI => conjInI,		  clk  => clkin,        sel  => sel_mux);invert <= (inv and conv_std_logic_vector(Tx_nRx,1)(0));    conj_1: conj      generic map (        width => width)      port map (        inR   => conjInR,        inI   => conjInI,        outR  => cfft4InR,        outI  => cfft4InI,		  clk   => Clkin,        conj  => invert);    acfft4 : cfft4      generic map (        WIDTH => WIDTH        )      port map (        clk   => ClkIn,        rst   => rst,        start => cfft4start,		  invert => conv_std_logic_vector(Tx_nRx,1)(0),        I     => cfft4InR,        Q     => cfft4InI,        Iout  => cfft4outR,        Qout  => cfft4outI        );    amulfactor : mulfactor      generic map (        WIDTH => WIDTH,        STAGE => STAGE        )      port map (        clk   => ClkIn,        rst   => rst,        angle => signed(angle),        I     => signed(cfft4outR),        Q     => signed(cfft4outI),        Iout  => MulOutR,        Qout  => MulOutI        );    arofactor : rofactor      generic map (        POINT => POINT,        STAGE => STAGE        )      port map (        clk   => ClkIn,        rst   => rst,        start => factorstart,		  invert => conv_std_logic_vector(Tx_nRx,1)(0), -- IFFT        angle => angle        );    Rlimit : div4limit      generic map (        WIDTH => WIDTH        )      port map (        clk => ClkIn,        D   => std_logic_vector(MulOutR),        Q   => fftR        );    Ilimit : div4limit      generic map (        WIDTH => WIDTH        )      port map (        clk => ClkIn,        D   => std_logic_vector(MulOutI),        Q   => fftI        );      end cfft;

?? 快捷鍵說(shuō)明

復(fù)制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號(hào) Ctrl + =
減小字號(hào) Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
亚洲综合视频在线观看| 成人午夜激情在线| 99综合电影在线视频| 欧美日韩高清一区| 国产精品无圣光一区二区| 亚洲国产欧美另类丝袜| 成人性生交大片| 日韩小视频在线观看专区| 一区二区三区中文在线观看| 国产成人免费xxxxxxxx| 日韩欧美一区二区不卡| 午夜视频一区在线观看| 色综合久久久久综合99| 国产人成一区二区三区影院| 蜜臀av性久久久久蜜臀aⅴ四虎 | 亚洲欧美一区二区三区孕妇| 麻豆视频观看网址久久| 欧美高清视频一二三区| 亚洲一区av在线| 一本高清dvd不卡在线观看| 国产欧美一区二区三区网站| 久久精品国产一区二区三| 欧美久久一二三四区| 亚洲在线成人精品| 欧洲精品视频在线观看| 亚洲视频香蕉人妖| jlzzjlzz欧美大全| **欧美大码日韩| 99精品桃花视频在线观看| 国产精品伦一区二区三级视频| 国产精品996| 久久久久久电影| av中文一区二区三区| 国产欧美一区二区三区沐欲| 国产在线播精品第三| 精品国产凹凸成av人导航| 精品一区二区免费视频| 久久久不卡影院| 不卡视频免费播放| 亚洲女与黑人做爰| 欧洲色大大久久| 婷婷久久综合九色综合绿巨人| 在线播放一区二区三区| 麻豆成人91精品二区三区| 日韩欧美在线影院| 国产成人99久久亚洲综合精品| 国产午夜精品一区二区| 成人av影视在线观看| 亚洲免费观看高清| 欧美日韩一区二区在线观看| 日韩电影在线免费看| 精品国产91亚洲一区二区三区婷婷| 久久精品国产澳门| 日本一区二区动态图| 日本乱码高清不卡字幕| 亚洲成人激情综合网| 精品免费国产二区三区| 国产盗摄一区二区| 亚洲最新在线观看| 欧美成人精品二区三区99精品| 国产美女视频一区| 亚洲女子a中天字幕| 91精品国产色综合久久久蜜香臀| 国产麻豆精品久久一二三| 最新中文字幕一区二区三区| 777a∨成人精品桃花网| 粉嫩一区二区三区在线看| 亚洲自拍偷拍网站| 久久精品亚洲麻豆av一区二区| 99久久精品免费精品国产| 五月天视频一区| 国产日产欧美一区二区三区| 欧美日韩国产精品成人| 国产福利91精品一区二区三区| 一区二区三区在线观看欧美| 精品处破学生在线二十三| 91在线观看高清| 国内不卡的二区三区中文字幕| 亚洲丝袜精品丝袜在线| 亚洲精品一区二区三区香蕉| 91国在线观看| 国产成人a级片| 日韩av电影天堂| 一区二区视频免费在线观看| 久久亚洲一级片| 欧美日本韩国一区| 99国产精品99久久久久久| 狠狠色狠狠色合久久伊人| 亚洲午夜精品网| 亚洲日本在线天堂| 欧美激情在线看| 精品福利一区二区三区| 91精选在线观看| 欧美一区三区四区| 欧美视频在线一区| av成人免费在线观看| 国产一区二区视频在线播放| 亚洲mv大片欧洲mv大片精品| 亚洲精品视频在线观看网站| 国产精品久久久久久久久久免费看| 日韩一卡二卡三卡| 欧美精品高清视频| 91伊人久久大香线蕉| 成人激情免费电影网址| 国产成人啪午夜精品网站男同| 日本视频免费一区| 日韩精品91亚洲二区在线观看| 亚洲国产美国国产综合一区二区| 亚洲人成电影网站色mp4| 国产精品免费丝袜| 国产精品视频第一区| 国产视频亚洲色图| 国产日产欧美一区| 久久精品一区二区三区四区| 久久久精品一品道一区| 久久久久久**毛片大全| 久久久影院官网| 欧美国产欧美综合| 国产精品每日更新在线播放网址 | 国产精品视频线看| 国产欧美精品国产国产专区| 国产女人aaa级久久久级 | 日韩区在线观看| 欧美xfplay| 中文av一区二区| 亚洲乱码精品一二三四区日韩在线| 亚洲乱码国产乱码精品精可以看| 一区二区三区久久久| 亚洲sss视频在线视频| 奇米影视在线99精品| 久久国产精品99精品国产 | 日本aⅴ亚洲精品中文乱码| 偷偷要91色婷婷| 老司机精品视频线观看86| 韩国欧美国产一区| 波波电影院一区二区三区| 成人福利视频网站| 日本精品一区二区三区高清| 欧美三级三级三级| 日韩女优av电影| 日本一区免费视频| 洋洋av久久久久久久一区| 蜜臀av亚洲一区中文字幕| 国内精品久久久久影院色| 成人午夜激情视频| 欧美视频你懂的| 精品国产免费久久| 国产精品黄色在线观看 | 国产精品毛片久久久久久久| 亚洲日韩欧美一区二区在线| 日韩精品一二三四| 粉嫩一区二区三区性色av| 欧美日韩精品三区| 亚洲国产中文字幕在线视频综合| 日韩影院免费视频| 成人精品视频一区二区三区| 欧美久久婷婷综合色| 欧美成人r级一区二区三区| 国产精品国产三级国产专播品爱网 | 欧美午夜精品久久久久久超碰| 日韩一级片网站| 亚洲欧美日韩小说| 看电视剧不卡顿的网站| 99精品国产视频| 2020国产精品久久精品美国| 伊人一区二区三区| 国产成人精品1024| 日韩亚洲欧美一区二区三区| 日韩一区中文字幕| 国产一区中文字幕| 欧美日韩日日夜夜| 国产精品美日韩| 蜜臀久久99精品久久久久宅男| 色综合天天综合狠狠| 精品国产一区二区三区久久影院| 亚洲欧美激情视频在线观看一区二区三区 | 亚洲精品视频在线| 国产成人在线看| 日韩免费电影网站| 亚洲妇女屁股眼交7| 99精品桃花视频在线观看| 久久久精品免费免费| 日本不卡一区二区| 欧美日韩一卡二卡三卡| 亚洲色图制服丝袜| 成人一区在线看| 日本一区二区三区dvd视频在线| 日本伊人精品一区二区三区观看方式 | 91精品国产欧美一区二区成人| 亚洲日本电影在线| 99re6这里只有精品视频在线观看| 欧美精品一区二区在线播放| 日韩高清在线不卡| 69堂亚洲精品首页| 天天综合日日夜夜精品| 在线视频欧美区| 午夜欧美在线一二页| 欧美撒尿777hd撒尿| 亚洲一区视频在线观看视频| 91黄色激情网站|