亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關于我們
? 蟲蟲下載站

?? rc5statemac.vhd

?? rc5 encryption- rc5 encryption using vhdl, using state machine, more detailed description can be fou
?? VHD
字號:
------------------------------------------------------------------------------------ Company: -- Engineer:     PRASHANT ASHOK SHARMA-- -- Create Date:    19:36:06 02/25/2009 -- Design Name: -- Module Name:    rc5statemac - Behavioral -- Project Name:     RC5 Encoder implementation with state machine.-- Target Devices: -- Tool versions: -- Description: ---- Dependencies: ---- Revision: -- Revision 0.01 - File Created-- Additional Comments: ------------------------------------------------------------------------------------library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;---- Uncomment the following library declaration if instantiating---- any Xilinx primitives in this code.--library UNISIM;--use UNISIM.VComponents.all;entity rc5statemac isPORT    (	clr	: IN	STD_LOGIC;	clk	: IN	STD_LOGIC;	din	: IN	STD_LOGIC_VECTOR(63 DOWNTO 0);	di_vld	: IN	STD_LOGIC;  -- input is valid	dout	: OUT	STD_LOGIC_VECTOR(63 DOWNTO 0);	do_rdy	: OUT	STD_LOGIC   -- output is ready     );end rc5statemac;architecture Behavioral of rc5statemac isSIGNAL i_cnt	: STD_LOGIC_VECTOR(3 DOWNTO 0); -- round counter        SIGNAL ab_xor	: STD_LOGIC_VECTOR(31 DOWNTO 0);    SIGNAL a_rot	: STD_LOGIC_VECTOR(31 DOWNTO 0);    SIGNAL a	: STD_LOGIC_VECTOR(31 DOWNTO 0);    SIGNAL a_pre	: STD_LOGIC_VECTOR(31 DOWNTO 0);    SIGNAL a_reg	: STD_LOGIC_VECTOR(31 DOWNTO 0); -- reg A        SIGNAL ba_xor: STD_LOGIC_VECTOR(31 DOWNTO 0);    SIGNAL b_rot	: STD_LOGIC_VECTOR(31 DOWNTO 0);    SIGNAL b	: STD_LOGIC_VECTOR(31 DOWNTO 0);    SIGNAL b_pre	: STD_LOGIC_VECTOR(31 DOWNTO 0);    SIGNAL b_reg	: STD_LOGIC_VECTOR(31 DOWNTO 0); -- reg B-- define a type for round keysTYPE rom IS ARRAY (0 TO 25) OF STD_LOGIC_VECTOR(31 DOWNTO 0);--instantiate round key rom with 26 round keysCONSTANT skey : rom:=rom'(X"9BBBD8C8", X"1A37F7FB", X"46F8E8C5",     X"460C6085", X"70F83B8A", X"284B8303", X"513E1454", X"F621ED22",      X"3125065D", X"11A83A5D", X"D427686B", X"713AD82D", X"4B792F99",      X"2799A4DD", X"A7901C49", X"DEDE871A", X"36C03196", X"A7EFC249",      X"61A78BB8", X"3B0A1D2B", X"4DBFCA76", X"AE162167", X"30D76B0A",      X"43192304", X"F6CC1431", X"65046380");--define states in the state machineTYPE  StateType IS (ST_IDLE, --                             ST_PRE_ROUND, -- pre-round op is performed                              ST_ROUND_OP, -- round op is performed				   --remains in this state for 12 clock cycles                             ST_READY -- valid result; for one clock cycle                              );SIGNAL  state   :   StateType;BEGIN -- A=((A XOR B)<<<B) + S[2*i]; ab_xor <= a_reg XOR b_reg; WITH b_reg(4 DOWNTO 0) SELECT  a_rot<=ab_xor(30 DOWNTO 0) & ab_xor(31) WHEN "00001",             ab_xor(29 DOWNTO 0) & ab_xor(31 DOWNTO 30) WHEN "00010",	ab_xor(28 DOWNTO 0) & ab_xor(31 DOWNTO 29) WHEN "00011",	ab_xor(27 DOWNTO 0) & ab_xor(31 DOWNTO 28) WHEN "00100",	ab_xor(26 DOWNTO 0) & ab_xor(31 DOWNTO 27) WHEN "00101",	ab_xor(25 DOWNTO 0) & ab_xor(31 DOWNTO 26) WHEN "00110",	ab_xor(24 DOWNTO 0) & ab_xor(31 DOWNTO 25) WHEN "00111",	ab_xor(23 DOWNTO 0) & ab_xor(31 DOWNTO 24) WHEN "01000",	ab_xor(22 DOWNTO 0) & ab_xor(31 DOWNTO 23) WHEN "01001",	ab_xor(21 DOWNTO 0) & ab_xor(31 DOWNTO 22) WHEN "01010",	ab_xor(20 DOWNTO 0) & ab_xor(31 DOWNTO 21) WHEN "01011",	ab_xor(19 DOWNTO 0) & ab_xor(31 DOWNTO 20) WHEN "01100",	ab_xor(18 DOWNTO 0) & ab_xor(31 DOWNTO 19) WHEN "01101",	ab_xor(17 DOWNTO 0) & ab_xor(31 DOWNTO 18) WHEN "01110",   ab_xor(16 DOWNTO 0) & ab_xor(31 DOWNTO 17) WHEN "01111",	ab_xor(15 DOWNTO 0) & ab_xor(31 DOWNTO 16) WHEN "10000",	ab_xor(14 DOWNTO 0) & ab_xor(31 DOWNTO 15) WHEN "10001",	ab_xor(13 DOWNTO 0) & ab_xor(31 DOWNTO 14) WHEN "10010",	ab_xor(12 DOWNTO 0) & ab_xor(31 DOWNTO 13) WHEN "10011",	ab_xor(11 DOWNTO 0) & ab_xor(31 DOWNTO 12) WHEN "10100",	ab_xor(10 DOWNTO 0) & ab_xor(31 DOWNTO 11) WHEN "10101",	ab_xor(9 DOWNTO 0) & ab_xor(31 DOWNTO 10) WHEN "10110", 	ab_xor(8 DOWNTO 0) & ab_xor(31 DOWNTO 9) WHEN "10111",	ab_xor(7 DOWNTO 0) & ab_xor(31 DOWNTO 8) WHEN "11000",	ab_xor(6 DOWNTO 0) & ab_xor(31 DOWNTO 7) WHEN "11001",	ab_xor(5 DOWNTO 0) & ab_xor(31 DOWNTO 6) WHEN "11010",	ab_xor(4 DOWNTO 0) & ab_xor(31 DOWNTO 5) WHEN "11011",	ab_xor(3 DOWNTO 0) & ab_xor(31 DOWNTO 4) WHEN "11100",	ab_xor(2 DOWNTO 0) & ab_xor(31 DOWNTO 3) WHEN "11101",	ab_xor(1 DOWNTO 0) & ab_xor(31 DOWNTO 2) WHEN "11110",	ab_xor(0) & ab_xor(31 DOWNTO 1) WHEN "11111",	ab_xor WHEN OTHERS;   a_pre<=din(63 DOWNTO 32) + skey(0); -- A = A + S[0]   a<=a_rot + skey(CONV_INTEGER(i_cnt & '0'));  -- S[2*i]-- B=((B XOR A) <<<A)	+ S[2*i+1]    ba_xor <= b_reg XOR a;    WITH a(4 DOWNTO 0) SELECT    b_rot<=ba_xor(30 DOWNTO 0) & ba_xor(31) WHEN "00001", 	 ba_xor(29 DOWNTO 0) & ba_xor(31 DOWNTO 30) WHEN "00010",	ba_xor(28 DOWNTO 0) & ba_xor(31 DOWNTO 29) WHEN "00011",	ba_xor(27 DOWNTO 0) & ba_xor(31 DOWNTO 28) WHEN "00100",	ba_xor(26 DOWNTO 0) & ba_xor(31 DOWNTO 27) WHEN "00101",	ba_xor(25 DOWNTO 0) & ba_xor(31 DOWNTO 26) WHEN "00110",	ba_xor(24 DOWNTO 0) & ba_xor(31 DOWNTO 25) WHEN "00111",	ba_xor(23 DOWNTO 0) & ba_xor(31 DOWNTO 24) WHEN "01000",	ba_xor(22 DOWNTO 0) & ba_xor(31 DOWNTO 23) WHEN "01001",	ba_xor(21 DOWNTO 0) & ba_xor(31 DOWNTO 22) WHEN "01010",	ba_xor(20 DOWNTO 0) & ba_xor(31 DOWNTO 21) WHEN "01011",	ba_xor(19 DOWNTO 0) & ba_xor(31 DOWNTO 20) WHEN "01100",	ba_xor(18 DOWNTO 0) & ba_xor(31 DOWNTO 19) WHEN "01101",	ba_xor(17 DOWNTO 0) & ba_xor(31 DOWNTO 18) WHEN "01110",	ba_xor(16 DOWNTO 0) & ba_xor(31 DOWNTO 17) WHEN "01111",	ba_xor(15 DOWNTO 0) & ba_xor(31 DOWNTO 16) WHEN "10000",   ba_xor(14 DOWNTO 0) & ba_xor(31 DOWNTO 15) WHEN "10001",	ba_xor(13 DOWNTO 0) & ba_xor(31 DOWNTO 14) WHEN "10010",	ba_xor(12 DOWNTO 0) & ba_xor(31 DOWNTO 13) WHEN "10011",	ba_xor(11 DOWNTO 0) & ba_xor(31 DOWNTO 12) WHEN "10100",	ba_xor(10 DOWNTO 0) & ba_xor(31 DOWNTO 11) WHEN "10101",	ba_xor(9 DOWNTO 0) & ba_xor(31 DOWNTO 10) WHEN "10110",	ba_xor(8 DOWNTO 0) & ba_xor(31 DOWNTO 9) WHEN "10111",	ba_xor(7 DOWNTO 0) & ba_xor(31 DOWNTO 8) WHEN "11000",	ba_xor(6 DOWNTO 0) & ba_xor(31 DOWNTO 7) WHEN "11001",	ba_xor(5 DOWNTO 0) & ba_xor(31 DOWNTO 6) WHEN "11010",	ba_xor(4 DOWNTO 0) & ba_xor(31 DOWNTO 5) WHEN "11011",	ba_xor(3 DOWNTO 0) & ba_xor(31 DOWNTO 4) WHEN "11100",	ba_xor(2 DOWNTO 0) & ba_xor(31 DOWNTO 3) WHEN "11101",	ba_xor(1 DOWNTO 0) & ba_xor(31 DOWNTO 2) WHEN "11110",	ba_xor(0) & ba_xor(31 DOWNTO 1) WHEN "11111",	ba_xor WHEN OTHERS;    	 b_pre <= din(31 DOWNTO 0) + skey(1);  -- B = B + S[1]    b<=b_rot + skey(CONV_INTEGER(i_cnt & '1'));  -- S[2*i+1]-- A registerPROCESS(clr, clk)  BEGIN	IF(clr='0') THEN         	a_reg<=(OTHERS=>'0');        	ELSIF(clk'EVENT AND clk='1') THEN            	IF(state=ST_PRE_ROUND) THEN   			a_reg<=a_pre;           		ELSIF(state=ST_ROUND_OP) THEN   			a_reg<=a;   		END IF;        	END IF;END PROCESS;    -- B registerPROCESS(clr, clk)  BEGIN	IF(clr='0') THEN           	b_reg<=(OTHERS=>'0');        ELSIF(clk'EVENT AND clk='1') THEN           	IF(state=ST_PRE_ROUND) THEN b_reg<=b_pre;           	ELSIF(state=ST_ROUND_OP) THEN b_reg<=b;	END IF;        END IF;END PROCESS;   -- round counter    PROCESS(clr, clk)  BEGIN        IF(clr='0') THEN           i_cnt<="0001";        ELSIF(clk'EVENT AND clk='1') THEN           IF(state=ST_ROUND_OP) THEN              IF(i_cnt="1100") THEN   i_cnt<="0001";              ELSE    i_cnt<=i_cnt+'1';    END IF;           END IF;        END IF;    END PROCESS;   --STATE MACHINE   PROCESS(clr, clk)   BEGIN      IF(clr='0') THEN         state<=ST_IDLE;      ELSIF(clk'EVENT AND clk='1') THEN         CASE state IS            WHEN ST_IDLE=>  		IF(di_vld='1') THEN state<=ST_PRE_ROUND;  END IF;            WHEN ST_PRE_ROUND=> state<=ST_ROUND_OP;            WHEN ST_ROUND_OP=> 		IF(i_cnt="1100") THEN state<=ST_READY;  END IF;            WHEN ST_READY=> state<=ST_IDLE;         END CASE;      END IF;   END PROCESS;dout<=a_reg & b_reg;    WITH state SELECT        do_rdy<=	'1' WHEN ST_READY,			'0' WHEN OTHERS;end Behavioral;

?? 快捷鍵說明

復制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
中文字幕在线一区免费| 日韩国产欧美在线播放| 亚洲国产wwwccc36天堂| 极品尤物av久久免费看| 在线视频你懂得一区| 国产亚洲精久久久久久| 三级精品在线观看| 日本丶国产丶欧美色综合| 久久久欧美精品sm网站| 琪琪久久久久日韩精品| 欧美这里有精品| ...xxx性欧美| 国产白丝网站精品污在线入口| 91精品婷婷国产综合久久竹菊| 日韩一区欧美二区| av高清不卡在线| 亚洲国产精品t66y| 国产剧情一区二区| 欧美不卡在线视频| 麻豆91在线播放免费| 欧美日韩一区国产| 亚洲成精国产精品女| 色婷婷综合中文久久一本| 中文字幕免费不卡| 岛国一区二区三区| 久久久精品天堂| 国产成人精品三级| 欧美国产精品劲爆| 成人黄色av电影| 一区二区中文视频| 91在线播放网址| 一区二区三区在线观看视频| 99久久精品免费观看| 亚洲欧美视频一区| 在线观看视频91| 亚洲一区成人在线| 欧美乱妇15p| 美女脱光内衣内裤视频久久网站| 欧美一级生活片| 国内精品伊人久久久久影院对白| 精品福利一区二区三区 | 国内精品伊人久久久久av一坑| 69p69国产精品| 麻豆久久一区二区| 久久综合狠狠综合久久激情| 国产精品99久| 亚洲天堂成人在线观看| 欧美视频完全免费看| 午夜精品免费在线观看| 精品久久久久香蕉网| 成人免费av网站| 曰韩精品一区二区| 欧美一二三区在线观看| 国产一区二区三区电影在线观看| 中文字幕巨乱亚洲| 欧美午夜精品久久久久久超碰| 国产麻豆一精品一av一免费 | 国产乱妇无码大片在线观看| 国产精品福利av | 成人听书哪个软件好| 亚洲精品国产成人久久av盗摄| 欧美精品第1页| 国产成人日日夜夜| 亚洲成人www| 国产午夜久久久久| 欧美日韩国产一级| 国产精品羞羞答答xxdd| 一区二区三区 在线观看视频| 日韩一区二区影院| 99久久综合精品| 另类调教123区 | 日韩成人午夜精品| 国产亚洲一区二区在线观看| 欧美一a一片一级一片| 国产精一区二区三区| 亚洲成人av中文| 国产精品入口麻豆原神| 欧美一区二区免费观在线| 99re成人精品视频| 国产曰批免费观看久久久| 亚洲制服丝袜av| 国产精品情趣视频| 欧美成人性福生活免费看| 在线一区二区观看| 粉嫩av一区二区三区在线播放| 日韩精品一二三四| 亚洲欧美激情插| 亚洲午夜久久久久| 亚洲国产精品精华液2区45| 日韩精品一区二区三区在线 | 91免费看`日韩一区二区| 久久99精品久久久久| 亚洲高清视频的网址| 最新不卡av在线| 国产欧美一区二区精品性色| 日韩免费观看高清完整版| 91黄色小视频| 色域天天综合网| 成人免费观看av| 国产成人鲁色资源国产91色综 | 99热这里都是精品| 国产精品白丝jk白祙喷水网站| 蜜桃一区二区三区在线观看| 亚洲一区二区五区| 亚洲黄色小视频| 亚洲视频在线一区二区| 中文字幕亚洲不卡| 国产欧美精品一区二区色综合朱莉| 日韩情涩欧美日韩视频| 欧美一区二区三区播放老司机| 欧美男生操女生| 欧美美女黄视频| 337p亚洲精品色噜噜| 欧美美女直播网站| 日韩一区二区三区电影在线观看| 欧美日韩电影一区| 欧美伦理电影网| 日韩小视频在线观看专区| 欧美一区二区精品久久911| 欧美一区二区三区系列电影| 欧美一区二视频| 精品欧美一区二区久久| 精品999久久久| 日本一区二区久久| 亚洲色图在线看| 一区二区在线看| 午夜精品福利视频网站| 高清视频一区二区| 成人爱爱电影网址| 日本久久一区二区三区| 欧美日韩国产高清一区二区三区 | 中文字幕国产一区二区| 亚洲国产精品二十页| 亚洲乱码国产乱码精品精可以看 | 91成人免费网站| 欧美日韩在线不卡| 精品日韩一区二区三区免费视频| 久久久影院官网| 亚洲六月丁香色婷婷综合久久 | 日韩一区在线播放| 午夜精品久久久久久久99水蜜桃| 日本大胆欧美人术艺术动态| 国产一区二区0| 色婷婷亚洲综合| 日韩欧美精品在线视频| 国产精品麻豆网站| 午夜视频在线观看一区二区| 麻豆精品久久久| 99久久久国产精品免费蜜臀| 欧美日韩一区二区三区高清| 亚洲精品一区二区在线观看| 中文字幕一区二区三区精华液| 亚洲国产乱码最新视频| 国内成人自拍视频| 欧美亚洲综合色| 久久久国产午夜精品| 亚洲综合区在线| 国产成人aaa| 9191精品国产综合久久久久久| 国产欧美一区二区精品秋霞影院| 亚洲一区二区三区四区五区中文 | 香蕉加勒比综合久久| 国产麻豆视频一区二区| 欧美色图片你懂的| 国产欧美精品在线观看| 日本不卡的三区四区五区| 成人18精品视频| 精品国产乱码久久久久久免费| 亚洲人成网站精品片在线观看| 欧美96一区二区免费视频| 色综合天天综合在线视频| 欧美一级久久久久久久大片| 亚洲精品美国一| 成人在线一区二区三区| 精品国产伦一区二区三区观看方式| 一区二区三区四区在线| 国产不卡免费视频| 久久日一线二线三线suv| 亚洲成人免费影院| 欧洲一区在线电影| 亚洲婷婷综合久久一本伊一区| 国产一区二区三区在线观看免费 | 欧美午夜电影网| 中文字幕在线观看一区| 国产麻豆欧美日韩一区| 日韩欧美一级二级| 免费在线观看不卡| 在线91免费看| 石原莉奈在线亚洲三区| 欧美日韩亚洲另类| 亚洲已满18点击进入久久| 97久久超碰国产精品| 国产精品三级视频| proumb性欧美在线观看| 中文一区在线播放| 成人久久18免费网站麻豆| 国产欧美日韩视频一区二区 | 久久99国产精品免费| 欧美一区二区在线看| 免费看黄色91|