?? bbc.vhd
字號:
-- bbc.vhd
-------此模塊對應不同的片選信號,輸出不同的要顯示的數據
library ieee;
use ieee.std_logic_1164.all;
---------------------------------
entity bbc is
port(bai1,bai0,sec1,sec0,min1,min0,h1,h0:in std_logic_vector(3 downto 0);
sel:in std_logic_vector(2 downto 0);
q: out std_logic_vector(3 downto 0));
end bbc;
---------------------------------------
architecture bbb_arc of bbc is
begin
process(sel)
begin
case sel is
when "000"=>q<=bai0; ---數碼管1和0選擇ms輸出
when "001"=>q<=bai1;
when "010"=>q<=sec0; ---數碼管3和2選擇s輸出
when "011"=>q<=sec1;
when "100"=>q<=min0; ---數碼管5和4選擇minute輸出
when "101"=>q<=min1;
when "110"=>q<=h0; ---數碼管7和6選擇hour輸出
when "111"=>q<=h1;
when others=>q<="111";
end case;
end process;
end bbb_arc;
?? 快捷鍵說明
復制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號
Ctrl + =
減小字號
Ctrl + -