?? e_multiplexer.vhd
字號:
library ieee;
use ieee.std_logic_1164.all;
entity E_multiplexer is
port (u0 : in std_logic ;
u1 : in std_logic ;
u2 : in std_logic ;
v0 : in std_logic ;
v1 : in std_logic ;
v2 : in std_logic ;
w0 : in std_logic ;
w1 : in std_logic ;
w2 : in std_logic ;
x0 : in std_logic ;
x1 : in std_logic ;
x2 : in std_logic ;
y0 : in std_logic ;
y1 : in std_logic ;
y2 : in std_logic ;
s0 : in std_logic ;
s1 : in std_logic ;
s2 : in std_logic ;
m0 : buffer std_logic;
m1 : buffer std_logic;
m2 : buffer std_logic
);
end E_multiplexer;
ARCHITECTURE Behavior OF E_multiplexer IS
COMPONENT E_mux51 IS
port (u : in std_logic ;
v : in std_logic ;
w : in std_logic ;
x : in std_logic ;
y : in std_logic ;
s0 : in std_logic ;
s1 : in std_logic ;
s2 : in std_logic ;
m : buffer std_logic
);
END COMPONENT;
BEGIN
u5:E_mux51 PORT MAP(u0,v0,w0,x0,y0,s0,s1,s2,m0);
u6:E_mux51 PORT MAP(u1,v1,w1,x1,y1,s0,s1,s2,m1);
u7:E_mux51 PORT MAP(u2,v2,w2,x2,y2,s0,s1,s2,m2);
END Behavior;
?? 快捷鍵說明
復制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號
Ctrl + =
減小字號
Ctrl + -