亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關(guān)于我們
? 蟲蟲下載站

?? std8980.vhd

?? TDS510USB2.0接口DSP仿真器.rar
?? VHD
?? 第 1 頁 / 共 4 頁
字號(hào):
----------------------------------------------------------------------------------  File Name: std8980.vhd----------------------------------------------------------------------------------  Copyright (C) 2001 Free Model Foundry; http://vhdl.org/fmf/-- --  This program is free software; you can redistribute it and/or modify--  it under the terms of the GNU General Public License version 2 as--  published by the Free Software Foundation.-- --  MODIFICATION HISTORY:-- --  version: |  author:  | mod date: | changes made:--    V1.0    R. Munden    01 Jan 08   Initial release-- ----------------------------------------------------------------------------------  PART DESCRIPTION:-- --  Library:    PROCESSOR--  Technology: 74xx--  Part:       STD8980-- --  Description: JTAG Tap Master w/ 8-Bit Host I/F--------------------------------------------------------------------------------LIBRARY IEEE;   USE IEEE.std_logic_1164.ALL;                USE IEEE.VITAL_timing.ALL;                USE IEEE.VITAL_primitives.ALL;LIBRARY FMF;    USE FMF.gen_utils.ALL;                USE FMF.conversions.ALL;---------------------------------------------------------------------------------- ENTITY DECLARATION--------------------------------------------------------------------------------ENTITY std8980 IS    GENERIC (        -- tipd delays: interconnect path delays        tipd_D0                  : VitalDelayType01 := VitalZeroDelay01;        tipd_D1                  : VitalDelayType01 := VitalZeroDelay01;        tipd_D2                  : VitalDelayType01 := VitalZeroDelay01;        tipd_D3                  : VitalDelayType01 := VitalZeroDelay01;        tipd_D4                  : VitalDelayType01 := VitalZeroDelay01;        tipd_D5                  : VitalDelayType01 := VitalZeroDelay01;        tipd_D6                  : VitalDelayType01 := VitalZeroDelay01;        tipd_D7                  : VitalDelayType01 := VitalZeroDelay01;        tipd_A0                  : VitalDelayType01 := VitalZeroDelay01;        tipd_A1                  : VitalDelayType01 := VitalZeroDelay01;        tipd_A2                  : VitalDelayType01 := VitalZeroDelay01;        tipd_RW                  : VitalDelayType01 := VitalZeroDelay01;        tipd_TDI                 : VitalDelayType01 := VitalZeroDelay01;        tipd_CLKIN               : VitalDelayType01 := VitalZeroDelay01;        tipd_STRBNeg             : VitalDelayType01 := VitalZeroDelay01;        tipd_RSTNeg              : VitalDelayType01 := VitalZeroDelay01;        tipd_TOENeg              : VitalDelayType01 := VitalZeroDelay01;        -- tpd delays        tpd_CLKIN_TDO            : VitalDelayType01 := UnitDelay01;        tpd_CLKIN_TCK            : VitalDelayType01 := UnitDelay01;        tpd_STRBNeg_D0           : VitalDelayType01Z := UnitDelay01Z;        tpd_STRBNeg_RDY          : VitalDelayType01 := UnitDelay01;        tpd_STRBNeg_TDO          : VitalDelayType01Z := UnitDelay01Z;        tpd_STRBNeg_TMS          : VitalDelayType01Z := UnitDelay01Z; --dc        tpd_RSTNeg_D0            : VitalDelayType01 := UnitDelay01;        tpd_RSTNeg_RDY           : VitalDelayType01 := UnitDelay01;        tpd_RSTNeg_TDO           : VitalDelayType01 := UnitDelay01;        tpd_RSTNeg_TCK           : VitalDelayType01 := UnitDelay01;        tpd_TOENeg_TDO           : VitalDelayType01Z := UnitDelay01Z;        -- tsetup values: setup times        tsetup_D0_STRBNeg        : VitalDelayType := UnitDelay;        tsetup_A0_STRBNeg        : VitalDelayType := UnitDelay;        tsetup_RW_STRBNeg        : VitalDelayType := UnitDelay;        tsetup_TDI_CLKIN         : VitalDelayType := UnitDelay;        -- thold values: hold times        thold_D0_STRBNeg         : VitalDelayType := UnitDelay;        thold_A0_STRBNeg         : VitalDelayType := UnitDelay;        thold_RW_STRBNeg         : VitalDelayType := UnitDelay;        thold_TDI_CLKIN          : VitalDelayType := UnitDelay;        -- tpw values: pulse widths        tpw_CLKIN_z1          : VitalDelayType := UnitDelay;        tpw_CLKIN_1z          : VitalDelayType := UnitDelay;        tpw_CLKIN_z0          : VitalDelayType := UnitDelay;        tpw_RSTNeg_negedge    : VitalDelayType := UnitDelay;        tpw_STRBNeg_negedge   : VitalDelayType := UnitDelay;        -- tperiod_min: minimum clock period = 1/max freq        tperiod_CLKIN_z1      : VitalDelayType := UnitDelay;        tperiod_CLKIN_1z      : VitalDelayType := UnitDelay;        tperiod_CLKIN_z0      : VitalDelayType := UnitDelay;        -- generic control parameters        InstancePath        : STRING    := DefaultInstancePath;        TimingChecksOn      : BOOLEAN   := DefaultTimingChecks;        MsgOn               : BOOLEAN   := DefaultMsgOn;        XOn                 : BOOLEAN   := DefaultXon;        -- For FMF SDF technology file usage        TimingModel         : STRING    := DefaultTimingModel    );    PORT (        D0              : INOUT std_ulogic := 'U';        D1              : INOUT std_ulogic := 'U';        D2              : INOUT std_ulogic := 'U';        D3              : INOUT std_ulogic := 'U';        D4              : INOUT std_ulogic := 'U';        D5              : INOUT std_ulogic := 'U';        D6              : INOUT std_ulogic := 'U';        D7              : INOUT std_ulogic := 'U';        A0              : IN    std_ulogic := 'U';        A1              : IN    std_ulogic := 'U';        A2              : IN    std_ulogic := 'U';        RW              : IN    std_ulogic := 'U';        TDI             : IN    std_ulogic := 'H';        CLKIN           : IN    std_ulogic := 'U';        RDY             : OUT   std_ulogic := 'U';        TDO             : OUT   std_ulogic := 'U';        TMS             : OUT   std_ulogic := 'U';        TCK             : OUT   std_ulogic := 'U';        STRBNeg         : IN    std_ulogic := 'H';        RSTNeg          : IN    std_ulogic := 'H';        TOENeg          : IN    std_ulogic := 'H';        TRSTNeg         : OUT   std_ulogic := 'U'    );    ATTRIBUTE VITAL_LEVEL0 of std8980 : ENTITY IS TRUE;END std8980;---------------------------------------------------------------------------------- ARCHITECTURE DECLARATION--------------------------------------------------------------------------------ARCHITECTURE vhdl_behavioral of std8980 IS    ATTRIBUTE VITAL_LEVEL0 of vhdl_behavioral : ARCHITECTURE IS TRUE;    CONSTANT partID            : STRING := "STD8980";    SIGNAL D0_ipd              : std_ulogic := 'U';    SIGNAL D1_ipd              : std_ulogic := 'U';    SIGNAL D2_ipd              : std_ulogic := 'U';    SIGNAL D3_ipd              : std_ulogic := 'U';    SIGNAL D4_ipd              : std_ulogic := 'U';    SIGNAL D5_ipd              : std_ulogic := 'U';    SIGNAL D6_ipd              : std_ulogic := 'U';    SIGNAL D7_ipd              : std_ulogic := 'U';    SIGNAL A0_ipd              : std_ulogic := 'U';    SIGNAL A1_ipd              : std_ulogic := 'U';    SIGNAL A2_ipd              : std_ulogic := 'U';    SIGNAL RW_ipd              : std_ulogic := 'U';    SIGNAL TDI_ipd             : std_ulogic := 'U';    SIGNAL CLKIN_ipd           : std_ulogic := 'U';    SIGNAL STRBNeg_ipd         : std_ulogic := 'U';    SIGNAL RSTNeg_ipd          : std_ulogic := 'U';    SIGNAL TOENeg_ipd          : std_ulogic := 'U';BEGIN    ----------------------------------------------------------------------------    -- Wire Delays    ----------------------------------------------------------------------------    WireDelay : BLOCK    BEGIN        w_1 : VitalWireDelay (D0_ipd, D0, tipd_D0);        w_2 : VitalWireDelay (D1_ipd, D1, tipd_D1);        w_3 : VitalWireDelay (D2_ipd, D2, tipd_D2);        w_4 : VitalWireDelay (D3_ipd, D3, tipd_D3);        w_5 : VitalWireDelay (D4_ipd, D4, tipd_D4);        w_6 : VitalWireDelay (D5_ipd, D5, tipd_D5);        w_7 : VitalWireDelay (D6_ipd, D6, tipd_D6);        w_8 : VitalWireDelay (D7_ipd, D7, tipd_D7);        w_9 : VitalWireDelay (A0_ipd, A0, tipd_A0);        w_10 : VitalWireDelay (A1_ipd, A1, tipd_A1);        w_11 : VitalWireDelay (A2_ipd, A2, tipd_A2);        w_12 : VitalWireDelay (RW_ipd, RW, tipd_RW);        w_13 : VitalWireDelay (TDI_ipd, TDI, tipd_TDI);        w_14 : VitalWireDelay (CLKIN_ipd, CLKIN, tipd_CLKIN);        w_19 : VitalWireDelay (STRBNeg_ipd, STRBNeg, tipd_STRBNeg);        w_20 : VitalWireDelay (RSTNeg_ipd, RSTNeg, tipd_RSTNeg);        w_21 : VitalWireDelay (TOENeg_ipd, TOENeg, tipd_TOENeg);    END BLOCK;    ----------------------------------------------------------------------------    -- Concurrent procedure calls    ----------------------------------------------------------------------------    ----------------------------------------------------------------------------    -- Main Behavior Block    ----------------------------------------------------------------------------    Behavior: BLOCK        PORT (            Address         : IN    std_logic_vector(2 downto 0);            DataIn          : IN    std_logic_vector(7 downto 0);            DataOut         : OUT   std_logic_vector(7 downto 0);            RDY             : OUT   std_ulogic := 'U';            TDO             : OUT   std_ulogic := 'U';            TMS             : OUT   std_ulogic := 'U';            TCK             : OUT   std_ulogic := 'U';            TRSTNeg         : OUT   std_ulogic := 'U';            RW              : IN    std_ulogic := 'U';            TDI             : IN    std_ulogic := 'H';            CLKIN           : IN    std_ulogic := 'U';            RSTNeg          : IN    std_ulogic := 'H';            TOENeg          : IN    std_ulogic := 'H';            STRBNeg         : IN    std_ulogic := 'H'        );        PORT MAP (            DataOut(0) =>  D0,            DataOut(1) =>  D1,            DataOut(2) =>  D2,            DataOut(3) =>  D3,            DataOut(4) =>  D4,            DataOut(5) =>  D5,            DataOut(6) =>  D6,            DataOut(7) =>  D7,            DataIn(0) =>  D0_ipd,            DataIn(1) =>  D1_ipd,            DataIn(2) =>  D2_ipd,            DataIn(3) =>  D3_ipd,            DataIn(4) =>  D4_ipd,            DataIn(5) =>  D5_ipd,            DataIn(6) =>  D6_ipd,            DataIn(7) =>  D7_ipd,            Address(0) => A0_ipd,            Address(1) => A1_ipd,            Address(2) => A2_ipd,            RW => RW_ipd,            TDI => TDI_ipd,            CLKIN => CLKIN_ipd,            RSTNeg => RSTNeg_ipd,            TOENeg => TOENeg_ipd,            TDO => TDO,            TMS => TMS,            TRSTNeg => TRSTNeg,            RDY => RDY,            TCK => TCK,            STRBNeg    => STRBNeg_ipd        );    SIGNAL TCKsig : std_ulogic;    BEGIN    TCK <= TCKsig;    ------------------------------------------------------------------------    -- Main Behaviour Process    ------------------------------------------------------------------------    Behaviour : PROCESS (RSTNeg, STRBNeg, RW, Address, DataIn, TDI, CLKIN,                         TOENeg, TCKsig)        -- Type definitions        TYPE Reg_type IS ARRAY (7 downto 0) OF std_logic_vector(7 downto 0);        TYPE fifo_type IS ARRAY (3 downto 0) OF std_logic_vector(7 downto 0);        TYPE tap_state_type IS (Test_Logic_Reset,                                Run_Test_Idle,                                Select_DR_Scan,                                Capture_DR,                                Shift_DR,                                Exit1_DR,                                Pause_DR,                                Exit2_DR,                                Update_DR,                                Select_IR_Scan,                                Capture_IR,                                Shift_IR,                                Exit1_IR,                                Pause_IR,                                Exit2_IR,                                Update_IR,                                ASP,                                ASP_in,                                ASP_out                               );        -- Registers        VARIABLE TAP_state  : tap_state_type;        VARIABLE prev_state : tap_state_type;        VARIABLE Reg   : Reg_type;        ALIAS configA  : std_logic_vector(7 downto 0) IS Reg(0);        ALIAS configB  : std_logic_vector(7 downto 0) IS Reg(1);        ALIAS status   : std_logic_vector(7 downto 0) IS Reg(2);        ALIAS command  : std_logic_vector(7 downto 0) IS Reg(3);        ALIAS tdobuf   : std_logic_vector(7 downto 0) IS Reg(4);        ALIAS tdibuf   : std_logic_vector(7 downto 0) IS Reg(5);        ALIAS counter  : std_logic_vector(7 downto 0) IS Reg(6);        ALIAS control  : std_logic_vector(7 downto 0) IS Reg(7);        ALIAS NTOE     : UX01 IS configA(5);        ALIAS LPBK     : std_logic_vector(1 downto 0) IS configA(4 downto 3);        ALIAS MODE     : std_logic_vector(2 downto 0) IS configA(2 downto 0);        ALIAS SWRST    : UX01 IS command(7);        ALIAS NTRST    : UX01 IS command(6);        ALIAS ENDST    : std_logic_vector(1 downto 0) IS command(5 downto 4);        ALIAS TDIS     : UX01 IS status(7);        ALIAS TDOS     : UX01 IS status(6);        ALIAS CTRS     : UX01 IS status(5);        ALIAS DNTR     : UX01 IS control(3);        ALIAS DTMS     : UX01 IS control(2);        ALIAS DTDI     : UX01 IS control(1);        ALIAS DTDO     : UX01 IS control(0);        VARIABLE CDIV    : natural;        VARIABLE OPCOD   : natural;        VARIABLE OP_done : boolean := true;        VARIABLE TDI_on  : boolean := false;        -- TDI FIFO        VARIABLE TDI_fifo  : fifo_type;        VARIABLE tdiincnt  : natural RANGE 0 to 3;        VARIABLE tdioutcnt : natural RANGE 0 to 3;        VARIABLE tdibitcnt : natural RANGE 0 to 7;        -- TDO FIFO        VARIABLE TDO_fifo  : fifo_type;        VARIABLE TDO_empty : boolean := true;        VARIABLE tdoincnt  : natural RANGE 0 to 3;        VARIABLE tdooutcnt : natural RANGE 0 to 3;        VARIABLE tdobitcnt : natural RANGE 0 to 7;        -- TCK        VARIABLE clkrun    : boolean := true;        -- Counter        VARIABLE Counter_reg : fifo_type;        VARIABLE Count       : natural;        VARIABLE countercnt  : natural RANGE 0 to 3;        VARIABLE Count32     : std_logic_vector(31 downto 0);        -- Timing Check Variables        VARIABLE Tviol_A_STRBNeg_su  : X01 := '0';        VARIABLE Tviol_A_STRBNeg_hd  : X01 := '0';        VARIABLE TD_A_STRBNeg     : VitalTimingDataType;        VARIABLE Tviol_D_STRBNeg  : X01 := '0';        VARIABLE TD_D_STRBNeg     : VitalTimingDataType;        VARIABLE Tviol_TDI_CLKIN  : X01 := '0';        VARIABLE TD_TDI_CLKIN     : VitalTimingDataType;        VARIABLE Tviol_RW_STRBNeg_su : X01 := '0';        VARIABLE Tviol_RW_STRBNeg_hd : X01 := '0';        VARIABLE TD_RW_STRBNeg    : VitalTimingDataType;        VARIABLE Pviol_RSTNeg  : X01 := '0';        VARIABLE PD_RSTNeg     : VitalPeriodDataType := VitalPeriodDataInit;        VARIABLE Pviol_STRBNeg : X01 := '0';        VARIABLE PD_STRBNeg    : VitalPeriodDataType := VitalPeriodDataInit;        VARIABLE Pviol_CLKIN0  : X01 := '0';        VARIABLE Pviol_CLKIN1  : X01 := '0';        VARIABLE Pviol_CLKIN2  : X01 := '0';        VARIABLE PD_CLKIN      : VitalPeriodDataType := VitalPeriodDataInit;        VARIABLE Violation      : X01 := '0';        -- Functionality Results Variables        VARIABLE DOut_zd        : std_logic_vector(7 downto 0);        VARIABLE RDYint         : std_ulogic;

?? 快捷鍵說明

復(fù)制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號(hào) Ctrl + =
減小字號(hào) Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
不卡av在线免费观看| 国产精品影视天天线| 日本精品一区二区三区高清| 国产精品狼人久久影院观看方式| 韩国女主播成人在线观看| 精品国产精品一区二区夜夜嗨| 精品一区二区三区av| 久久精品亚洲一区二区三区浴池| 国产精品亚洲第一区在线暖暖韩国 | 中文字幕日韩一区| 国产成人精品免费在线| 国产精品久线观看视频| 在线精品视频一区二区| 日韩综合一区二区| 精品成人一区二区三区四区| 国产一区不卡精品| 亚洲欧美偷拍卡通变态| 777奇米成人网| 国产麻豆精品在线观看| 成人欧美一区二区三区1314| 欧美精品久久久久久久久老牛影院| 青青草原综合久久大伊人精品| 久久亚洲综合av| 色婷婷激情综合| 日本aⅴ免费视频一区二区三区| 久久亚区不卡日本| 在线观看视频一区二区欧美日韩| 日韩vs国产vs欧美| 综合久久一区二区三区| 欧美一区二区三区系列电影| 成人午夜精品在线| 亚洲va国产天堂va久久en| 久久综合成人精品亚洲另类欧美| 色素色在线综合| 九九久久精品视频| 亚洲国产综合在线| 欧美国产乱子伦| 欧美一区二区视频在线观看2022| 成人av午夜电影| 秋霞午夜鲁丝一区二区老狼| 国产精品短视频| 精品福利在线导航| 欧美性大战久久久久久久| 国产盗摄一区二区| 日本不卡一二三| 亚洲精品乱码久久久久| 久久综合av免费| 在线综合+亚洲+欧美中文字幕| 91亚洲国产成人精品一区二三| 免费精品视频最新在线| 亚洲制服丝袜在线| 国产精品家庭影院| 久久影院午夜片一区| 欧美老肥妇做.爰bbww| 91啪亚洲精品| 不卡的看片网站| 国产精品一区二区不卡| 麻豆91在线观看| 午夜欧美电影在线观看| 亚洲美女少妇撒尿| 《视频一区视频二区| 久久久久国产精品麻豆| 日韩欧美自拍偷拍| 欧美一级艳片视频免费观看| 欧洲亚洲精品在线| 国产亚洲综合性久久久影院| 欧美日本在线播放| 欧美性一级生活| 色综合天天综合色综合av| 国产.欧美.日韩| 国产盗摄精品一区二区三区在线| 蓝色福利精品导航| 美女网站视频久久| 久草热8精品视频在线观看| 蜜桃一区二区三区在线观看| 奇米综合一区二区三区精品视频| 亚洲福利一二三区| 日韩中文字幕一区二区三区| 亚洲制服丝袜在线| 亚洲第一综合色| 丝袜诱惑制服诱惑色一区在线观看 | 亚洲3atv精品一区二区三区| 亚洲精品视频一区二区| 亚洲欧美日韩国产另类专区 | 成人午夜av电影| 国产盗摄视频一区二区三区| 国产成都精品91一区二区三| 成人综合激情网| av高清不卡在线| 成人国产电影网| 色综合天天在线| 欧洲精品一区二区| 欧美美女激情18p| 欧美一二区视频| 久久女同精品一区二区| 中文在线资源观看网站视频免费不卡 | 久久精品久久精品| 久久电影网电视剧免费观看| 国产一区二区不卡| av电影在线不卡| 欧美日韩中文字幕一区二区| 欧美一级在线免费| 久久综合狠狠综合久久综合88| 中文字幕精品在线不卡| 亚洲精品一卡二卡| 日韩二区三区在线观看| 国产一区二区91| 一本色道久久综合亚洲精品按摩| 欧美日韩国产影片| 久久久久久久国产精品影院| 亚洲欧洲精品天堂一级| 亚洲成人动漫av| 国产伦精一区二区三区| 91小视频在线免费看| 91麻豆精品国产91久久久久久久久 | 91精品国产91久久综合桃花| 欧美tk丨vk视频| 国产精品国产三级国产| 日韩高清在线电影| 精品日韩av一区二区| 中文字幕av免费专区久久| 亚洲第一主播视频| 岛国精品一区二区| 欧美日韩一区二区三区高清| 日本丰满少妇一区二区三区| 欧美一级高清片在线观看| 国产精品网站在线播放| 一区二区不卡在线播放| 九九久久精品视频| 色老汉一区二区三区| 久久综合色鬼综合色| 亚洲国产一区视频| 国产成人高清视频| 欧美日韩日本视频| 《视频一区视频二区| 麻豆中文一区二区| 91麻豆swag| 久久先锋资源网| 午夜天堂影视香蕉久久| 成人网在线播放| 日韩视频一区在线观看| 亚洲色图.com| 国产剧情一区二区| 欧美精品一二三区| 亚洲色图制服丝袜| 国产一区二区三区四| 精品1区2区3区| 亚洲三级在线免费观看| 国产伦精品一区二区三区免费 | 亚洲视频一区二区免费在线观看| 青青青伊人色综合久久| 欧美中文字幕一区二区三区| 国产欧美一区二区精品婷婷 | 亚洲欧洲一区二区三区| 久久99精品国产.久久久久久| 在线国产电影不卡| 国产精品午夜电影| 精品一区二区三区蜜桃| 在线电影一区二区三区| 亚洲精品日韩综合观看成人91| 国产传媒欧美日韩成人| 日韩女同互慰一区二区| 免费看日韩a级影片| 欧美日韩国产高清一区二区三区 | 成人黄色在线网站| 欧美精品一区男女天堂| 日韩精品电影在线观看| 欧美性生交片4| 亚洲视频精选在线| 成人avav在线| 国产精品视频你懂的| 国产精品123区| 久久久久99精品一区| 国产一区在线看| 欧美大度的电影原声| 美女脱光内衣内裤视频久久网站| 欧美一区二区三区电影| 丝袜美腿一区二区三区| 欧美精品v日韩精品v韩国精品v| 一区二区三区在线播| 在线观看国产日韩| 亚洲国产成人91porn| 欧美日韩国产免费一区二区 | 日本特黄久久久高潮| 欧美美女喷水视频| 免费观看在线综合| 日韩免费视频一区| 国产精品一区二区在线看| 欧美激情综合在线| 99麻豆久久久国产精品免费| 亚洲精品国产成人久久av盗摄| 色婷婷av一区二区三区软件| 亚洲一线二线三线视频| 3d动漫精品啪啪| 麻豆91在线看| 中文字幕电影一区| 在线影视一区二区三区| 日韩激情中文字幕| 久久综合999| a美女胸又www黄视频久久|