亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關(guān)于我們
? 蟲蟲下載站

?? receive_tb.v

?? 基于802.3以太網(wǎng)的mac源碼
?? V
?? 第 1 頁 / 共 2 頁
字號:
/*--------------------------------------------------------------------------------- $Revision: 1.5 $ $Date: 2008-04-10 00:44:36 $-- Title      : Demo testbench-- Project    : 10 Gigabit Ethernet MAC--------------------------------------------------------------------------------- File       : demo_tb.v--------------------------------------------------------------------------------- Description: This testbench will exercise the ports of the MAC core to--              demonstrate the functionality.--------------------------------------------------------------------------------- Copyright (c) 2001 Xilinx Inc.----------------------------------------------------------------------------------- This testbench performs the following operations on the MAC core:--  - The clock divide register is set for MIIM operation.   *//*  - The clientXGMII port is wired as a loopback, so that transmitted frames--    are then injected into the receiver.--  - Four frames are pushed into the receiver. The first is a minimum--    length frame, the second is slightly longer, the third has an error--    asserted and the fourth is less than minimum length and is padded--    up to the minimum.--  - These frames are then looped back and sent out by the transmitter.--  */`timescale 1ps / 1psmodule frame_typ;   // This module abstracts the frame data for simpler manipulation   reg [31:0] data [0:31];   reg [ 3:0] ctrl [0:31];   reg [31:0] crc;   reg               underrun;`define FRAME_TYP [32*32+32*4+32+1:1]   reg `FRAME_TYP bits;   function `FRAME_TYP tobits;      input dummy;      begin         bits = {data[ 0], data[ 1], data[ 2], data[ 3], data[ 4],                 data[ 5], data[ 6], data[ 7], data[ 8], data[ 9],                 data[10], data[11], data[12], data[13], data[14],                 data[15], data[16], data[17], data[18], data[19],                 data[20], data[21], data[22], data[23], data[24],                 data[25], data[26], data[27], data[28], data[29],                 data[30], data[31], ctrl[ 0], ctrl[ 1], ctrl[ 2],                 ctrl[ 3], ctrl[ 4], ctrl[ 5], ctrl[ 6], ctrl[ 7],                 ctrl[ 8], ctrl[ 9], ctrl[10], ctrl[11], ctrl[12],                 ctrl[13], ctrl[14], ctrl[15], ctrl[16], ctrl[17],                 ctrl[18], ctrl[19], ctrl[20], ctrl[21], ctrl[22],                 ctrl[23], ctrl[24], ctrl[25], ctrl[26], ctrl[27],                 ctrl[28], ctrl[29], ctrl[30], ctrl[31], crc, underrun};         tobits = bits;      end   endfunction // tobits   task frombits;      input `FRAME_TYP frame;      begin         bits = frame;         {data[ 0], data[ 1], data[ 2], data[ 3], data[ 4], data[ 5],          data[ 6], data[ 7], data[ 8], data[ 9], data[10], data[11],          data[12], data[13], data[14], data[15], data[16], data[17],          data[18], data[19], data[20], data[21], data[22], data[23],          data[24], data[25], data[26], data[27], data[28], data[29],          data[30], data[31], ctrl[ 0], ctrl[ 1], ctrl[ 2], ctrl[ 3],          ctrl[ 4], ctrl[ 5], ctrl[ 6], ctrl[ 7], ctrl[ 8], ctrl[ 9],          ctrl[10], ctrl[11], ctrl[12], ctrl[13], ctrl[14], ctrl[15],          ctrl[16], ctrl[17], ctrl[18], ctrl[19], ctrl[20], ctrl[21],          ctrl[22], ctrl[23], ctrl[24], ctrl[25], ctrl[26], ctrl[27],          ctrl[28], ctrl[29], ctrl[30], ctrl[31], crc, underrun} = bits;      end   endtask // frombits   endmodule // frame_typ// Address of management configuration register`define CONFIG_MANAGEMENT 9'b101000000// Address of flow control configuration register`define CONFIG_FLOW_CTRL  9'b011000000// addresses of statistics registers`define STATS_TX_OK       9'b000100000`define STATS_TX_UNDERRUN 9'b000100011`define STATS_RX_OK       9'b000000000`define STATS_RX_FCS_ERR  9'b000000001`define MIN_FRAME_DATA_BYTES 60module testbench;   // Frame data....   frame_typ frame0();   frame_typ frame1();   frame_typ frame2();   frame_typ frame3();   frame_typ tx_stimulus_working_frame();   frame_typ tx_monitor_working_frame();   frame_typ rx_stimulus_working_frame();   frame_typ rx_monitor_working_frame();  // Store the frame data etc....   initial     begin        // Frame 0...        frame0.data[0]  = 32'h04030201;          frame0.data[1]  = 32'h02020605;                frame0.data[2]  = 32'h06050403;        frame0.data[3]  = 32'h55AA2E00;        frame0.data[4]  = 32'hAA55AA55;          frame0.data[5]  = 32'h55AA55AA;        frame0.data[6]  = 32'hAA55AA55;          frame0.data[7]  = 32'h55AA55AA;        frame0.data[8]  = 32'hAA55AA55;          frame0.data[9]  = 32'h55AA55AA;        frame0.data[10] = 32'hAA55AA55;          frame0.data[11] = 32'h55AA55AA;        frame0.data[12] = 32'hAA55AA55;          frame0.data[13] = 32'h55AA55AA;        frame0.data[14] = 32'hAA55AA55;          frame0.data[15] = 32'h00000000;        frame0.data[16] = 32'h00000000;        frame0.data[17] = 32'h00000000;        frame0.data[18] = 32'h00000000;        frame0.data[19] = 32'h00000000;        frame0.data[20] = 32'h00000000;        frame0.data[21] = 32'h00000000;        frame0.data[22] = 32'h00000000;        frame0.data[23] = 32'h00000000;        frame0.data[24] = 32'h00000000;        frame0.data[25] = 32'h00000000;        frame0.data[26] = 32'h00000000;        frame0.data[27] = 32'h00000000;        frame0.data[28] = 32'h00000000;        frame0.data[29] = 32'h00000000;        frame0.data[30] = 32'h00000000;        frame0.data[31] = 32'h00000000;        frame0.ctrl[0]  = 4'b1111;        frame0.ctrl[1]  = 4'b1111;        frame0.ctrl[2]  = 4'b1111;        frame0.ctrl[3]  = 4'b1111;        frame0.ctrl[4]  = 4'b1111;        frame0.ctrl[5]  = 4'b1111;        frame0.ctrl[6]  = 4'b1111;        frame0.ctrl[7]  = 4'b1111;        frame0.ctrl[8]  = 4'b1111;        frame0.ctrl[9]  = 4'b1111;        frame0.ctrl[10] = 4'b1111;        frame0.ctrl[11] = 4'b1111;        frame0.ctrl[12] = 4'b1111;        frame0.ctrl[13] = 4'b1111;        frame0.ctrl[14] = 4'b1111;        frame0.ctrl[15] = 4'b0000;        frame0.ctrl[16] = 4'b0000;        frame0.ctrl[17] = 4'b0000;        frame0.ctrl[18] = 4'b0000;        frame0.ctrl[19] = 4'b0000;        frame0.ctrl[20] = 4'b0000;        frame0.ctrl[21] = 4'b0000;        frame0.ctrl[22] = 4'b0000;        frame0.ctrl[23] = 4'b0000;        frame0.ctrl[24] = 4'b0000;        frame0.ctrl[25] = 4'b0000;        frame0.ctrl[26] = 4'b0000;        frame0.ctrl[27] = 4'b0000;        frame0.ctrl[28] = 4'b0000;        frame0.ctrl[29] = 4'b0000;        frame0.ctrl[30] = 4'b0000;        frame0.ctrl[31] = 4'b0000;                frame0.crc = 32'h0D4820F6;                frame0.underrun = 1'b0;                // Frame 1        frame1.data[0]  = 32'h03040506;        frame1.data[1]  = 32'h05060102;        frame1.data[2]  = 32'h02020304;          frame1.data[3]  = 32'hEE110080;        frame1.data[4]  = 32'h11EE11EE;        frame1.data[5]  = 32'hEE11EE11;        frame1.data[6]  = 32'h11EE11EE;        frame1.data[7]  = 32'hEE11EE11;        frame1.data[8]  = 32'h11EE11EE;        frame1.data[9]  = 32'hEE11EE11;        frame1.data[10] = 32'h11EE11EE;          frame1.data[11] = 32'hEE11EE11;        frame1.data[12] = 32'h11EE11EE;        frame1.data[13] = 32'hEE11EE11;        frame1.data[14] = 32'h11EE11EE;          frame1.data[15] = 32'hEE11EE11;        frame1.data[16] = 32'h11EE11EE;        frame1.data[17] = 32'hEE11EE11;        frame1.data[18] = 32'h11EE11EE;        frame1.data[19] = 32'hEE11EE11;        frame1.data[20] = 32'h11EE11EE;          frame1.data[21] = 32'h0000EE11;        frame1.data[22] = 32'h00000000;        frame1.data[23] = 32'h00000000;        frame1.data[24] = 32'h00000000;        frame1.data[25] = 32'h00000000;        frame1.data[26] = 32'h00000000;        frame1.data[27] = 32'h00000000;        frame1.data[28] = 32'h00000000;        frame1.data[29] = 32'h00000000;        frame1.data[30] = 32'h00000000;        frame1.data[31] = 32'h00000000;        frame1.ctrl[0]  = 4'b1111;        frame1.ctrl[1]  = 4'b1111;        frame1.ctrl[2]  = 4'b1111;        frame1.ctrl[3]  = 4'b1111;        frame1.ctrl[4]  = 4'b1111;        frame1.ctrl[5]  = 4'b1111;        frame1.ctrl[6]  = 4'b1111;        frame1.ctrl[7]  = 4'b1111;        frame1.ctrl[8]  = 4'b1111;        frame1.ctrl[9]  = 4'b1111;        frame1.ctrl[10] = 4'b1111;        frame1.ctrl[11] = 4'b1111;        frame1.ctrl[12] = 4'b1111;        frame1.ctrl[13] = 4'b1111;        frame1.ctrl[14] = 4'b1111;        frame1.ctrl[15] = 4'b1111;        frame1.ctrl[16] = 4'b1111;        frame1.ctrl[17] = 4'b1111;        frame1.ctrl[18] = 4'b1111;        frame1.ctrl[19] = 4'b1111;        frame1.ctrl[20] = 4'b1111;        frame1.ctrl[21] = 4'b0011;        frame1.ctrl[22] = 4'b0000;        frame1.ctrl[23] = 4'b0000;        frame1.ctrl[24] = 4'b0000;        frame1.ctrl[25] = 4'b0000;        frame1.ctrl[26] = 4'b0000;        frame1.ctrl[27] = 4'b0000;        frame1.ctrl[28] = 4'b0000;        frame1.ctrl[29] = 4'b0000;        frame1.ctrl[30] = 4'b0000;        frame1.ctrl[31] = 4'b0000;                frame1.crc = 32'hDE13388C;                frame1.underrun = 1'b0;            // Frame 2        frame2.data[0]  = 32'h04030201;        frame2.data[1]  = 32'h02020605;        frame2.data[2]  = 32'h06050403;        frame2.data[3]  = 32'h55AA2E80;        frame2.data[4]  = 32'hAA55AA55;        frame2.data[5]  = 32'h55AA55AA;        frame2.data[6]  = 32'hAA55AA55;        frame2.data[7]  = 32'h55AA55AA;        frame2.data[8]  = 32'hAA55AA55;          frame2.data[9]  = 32'h55AA55AA;        frame2.data[10] = 32'hAA55AA55;        frame2.data[11] = 32'h55AA55AA;        frame2.data[12] = 32'hAA55AA55;        frame2.data[13] = 32'h55AA55AA;        frame2.data[14] = 32'hAA55AA55;        frame2.data[15] = 32'h55AA55AA;        frame2.data[16] = 32'hAA55AA55;        frame2.data[17] = 32'h55AA55AA;        frame2.data[18] = 32'hAA55AA55;        frame2.data[19] = 32'h55AA55AA;        frame2.data[20] = 32'h00000000;          frame2.data[21] = 32'h00000000;        frame2.data[22] = 32'h00000000;          frame2.data[23] = 32'h00000000;        frame2.data[24] = 32'h00000000;        frame2.data[25] = 32'h00000000;        frame2.data[26] = 32'h00000000;        frame2.data[27] = 32'h00000000;        frame2.data[28] = 32'h00000000;        frame2.data[29] = 32'h00000000;        frame2.data[30] = 32'h00000000;        frame2.data[31] = 32'h00000000;        frame2.ctrl[0] = 4'b1111;        frame2.ctrl[1] = 4'b1111;        frame2.ctrl[2] = 4'b1111;        frame2.ctrl[3] = 4'b1111;        frame2.ctrl[4] = 4'b1111;        frame2.ctrl[5] = 4'b1111;        frame2.ctrl[6] = 4'b1111;        frame2.ctrl[7] = 4'b1111;        frame2.ctrl[8] = 4'b1111;        frame2.ctrl[9] = 4'b1111;        frame2.ctrl[10] = 4'b1111;        frame2.ctrl[11] = 4'b1111;        frame2.ctrl[12] = 4'b1111;        frame2.ctrl[13] = 4'b1111;        frame2.ctrl[14] = 4'b1111;        frame2.ctrl[15] = 4'b1111;        frame2.ctrl[16] = 4'b1111;        frame2.ctrl[17] = 4'b1111;        frame2.ctrl[18] = 4'b1111;        frame2.ctrl[19] = 4'b1111;        frame2.ctrl[20] = 4'b0000;        frame2.ctrl[21] = 4'b0000;        frame2.ctrl[22] = 4'b0000;        frame2.ctrl[23] = 4'b0000;        frame2.ctrl[24] = 4'b0000;        frame2.ctrl[25] = 4'b0000;        frame2.ctrl[26] = 4'b0000;        frame2.ctrl[27] = 4'b0000;        frame2.ctrl[28] = 4'b0000;        frame2.ctrl[29] = 4'b0000;        frame2.ctrl[30] = 4'b0000;        frame2.ctrl[31] = 4'b0000;                frame2.crc = 32'h20C6B69D;            frame2.underrun = 1'b1;            // Frame 3        frame3.data[0]  = 32'h03040506;        frame3.data[1]  = 32'h05060102;        frame3.data[2]  = 32'h02020304;          frame3.data[3]  = 32'hEE111500;        frame3.data[4]  = 32'h11EE11EE;          frame3.data[5]  = 32'hEE11EE11;        frame3.data[6]  = 64'h11EE11EE;          frame3.data[7]  = 32'hEE11EE11;        frame3.data[8]  = 32'h00EE11EE;        frame3.data[9]  = 32'h00000000;        frame3.data[10] = 32'h00000000;        frame3.data[11] = 32'h00000000;        frame3.data[12] = 32'h00000000;        frame3.data[13] = 32'h00000000;        frame3.data[14] = 32'h00000000;        frame3.data[15] = 32'h00000000;        frame3.data[16] = 32'h00000000;        frame3.data[17] = 32'h00000000;        frame3.data[18] = 32'h00000000;        frame3.data[19] = 32'h00000000;        frame3.data[20] = 32'h00000000;        frame3.data[21] = 32'h00000000;        frame3.data[22] = 32'h00000000;        frame3.data[23] = 32'h00000000;        frame3.data[24] = 32'h00000000;        frame3.data[25] = 32'h00000000;        frame3.data[26] = 32'h00000000;        frame3.data[27] = 32'h00000000;        frame3.data[28] = 32'h00000000;        frame3.data[29] = 32'h00000000;        frame3.data[30] = 32'h00000000;        frame3.data[31] = 32'h00000000;        frame3.ctrl[0]  = 4'b1111;        frame3.ctrl[1]  = 4'b1111;        frame3.ctrl[2]  = 4'b1111;        frame3.ctrl[3]  = 4'b1111;        frame3.ctrl[4]  = 4'b1111;        frame3.ctrl[5]  = 4'b1111;        frame3.ctrl[6]  = 4'b1111;        frame3.ctrl[7]  = 4'b1111;        frame3.ctrl[8]  = 4'b0111;        frame3.ctrl[9]  = 4'b0000;        frame3.ctrl[10] = 4'b0000;        frame3.ctrl[11] = 4'b0000;        frame3.ctrl[12] = 4'b0000;        frame3.ctrl[13] = 4'b0000;        frame3.ctrl[14] = 4'b0000;        frame3.ctrl[15] = 4'b0000;        frame3.ctrl[16] = 4'b0000;        frame3.ctrl[17] = 4'b0000;

?? 快捷鍵說明

復(fù)制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
国产女人18毛片水真多成人如厕| 日本欧美肥老太交大片| 国产成a人无v码亚洲福利| 在线精品视频免费播放| 国产精品麻豆视频| 男女男精品网站| 欧美影院一区二区| 亚洲美女免费在线| 91天堂素人约啪| 亚洲精品乱码久久久久| 97精品久久久午夜一区二区三区 | 久久九九久久九九| 蜜芽一区二区三区| 日韩女优电影在线观看| 美国十次了思思久久精品导航| 日韩一区二区在线观看| 美国一区二区三区在线播放| 日韩美女天天操| 国产风韵犹存在线视精品| 国产精品三级久久久久三级| av综合在线播放| 亚洲国产精品一区二区久久 | 日韩美女天天操| 成人黄色片在线观看| 欧美日韩中文字幕精品| 中文字幕免费不卡在线| 亚洲午夜久久久久久久久电影网| 国产一区二区在线电影| www成人在线观看| 亚洲主播在线播放| 欧美午夜精品电影| 蜜臀精品久久久久久蜜臀| 精品国产一二三区| 成人精品一区二区三区四区| 亚洲欧美日韩中文字幕一区二区三区 | 欧美亚洲国产怡红院影院| 日韩国产在线一| 精品国产sm最大网站| 成人成人成人在线视频| 亚洲成人7777| 国产女同互慰高潮91漫画| 欧美日韩视频第一区| 国产在线精品一区二区夜色| 亚洲精品国久久99热| 日韩欧美第一区| 在线亚洲免费视频| 精品亚洲国产成人av制服丝袜| 亚洲欧美一区二区三区久本道91| 91麻豆精品国产91久久久久久 | 国产一区二区三区av电影| 尤物在线观看一区| 亚洲国产电影在线观看| 日韩欧美一二区| 777a∨成人精品桃花网| 色天天综合久久久久综合片| 久久色.com| 亚洲一区二区三区国产| 国产欧美久久久精品影院| 欧美精品在线观看播放| 欧美在线你懂得| 国产馆精品极品| 国产美女精品一区二区三区| 亚洲va欧美va人人爽| 亚洲精品videosex极品| 国产精品免费视频网站| 国产午夜亚洲精品不卡| 精品国产91乱码一区二区三区| 欧美伦理视频网站| 欧美日韩高清影院| 欧美一区二区播放| 日韩免费观看高清完整版| 91精品国产一区二区三区香蕉| 欧美丰满一区二区免费视频| 欧洲精品在线观看| 欧美日韩一级二级| 日韩一本二本av| 日韩精品一区二| 国产日产欧美一区二区视频| 欧美激情综合网| 亚洲欧美激情一区二区| 亚洲专区一二三| 美女爽到高潮91| 国产白丝网站精品污在线入口| 成人免费观看av| 欧美图区在线视频| 日韩欧美一区二区免费| 精品三级av在线| 国产欧美一区二区在线观看| 亚洲乱码中文字幕综合| 日韩在线一二三区| 国产精品资源站在线| 不卡视频在线看| 91精品国产欧美一区二区 | 亚洲精品乱码久久久久久久久 | 成人av影视在线观看| 欧美三级电影在线观看| 欧美精品一区二区三区在线播放| 国产精品卡一卡二卡三| 18欧美乱大交hd1984| 国内精品自线一区二区三区视频| 6080国产精品一区二区| 欧美精品第1页| 亚洲视频网在线直播| 95精品视频在线| 亚洲视频一区二区免费在线观看| 国产高清精品久久久久| 欧美国产日韩在线观看| 成人天堂资源www在线| 国产精品入口麻豆原神| 成人动漫视频在线| 自拍偷拍欧美激情| 国产精品1024| 久久综合狠狠综合久久综合88| 麻豆91小视频| 精品日韩99亚洲| 久热成人在线视频| 欧美大肚乱孕交hd孕妇| 蜜臀精品一区二区三区在线观看| 欧美人妖巨大在线| 亚洲成人动漫精品| 在线观看成人小视频| 亚洲一区成人在线| 欧美日韩电影在线| 亚洲不卡在线观看| 日韩视频免费观看高清完整版| 午夜精品福利久久久| 欧美男生操女生| 日韩国产欧美三级| 欧美不卡激情三级在线观看| 看国产成人h片视频| 精品久久一区二区三区| 99精品视频一区| 青青青爽久久午夜综合久久午夜 | 激情综合五月天| 欧美一区二区黄色| 国产麻豆视频一区二区| 亚洲另类色综合网站| 日韩精品一区二区三区在线观看| 国产成人啪免费观看软件| 国产精品免费视频网站| 欧美午夜不卡在线观看免费| 蜜臀久久99精品久久久久宅男| 精品动漫一区二区三区在线观看| 波多野结衣视频一区| 午夜精品久久久久| 欧美极品aⅴ影院| 在线免费不卡视频| 国产美女视频一区| 亚洲一区二区三区视频在线| 精品精品国产高清一毛片一天堂| 一本大道久久a久久精品综合| 久久成人18免费观看| 一级精品视频在线观看宜春院 | 亚洲成av人片在线| 国产亚洲1区2区3区| 欧美在线观看一二区| 国产成人三级在线观看| 激情综合五月天| 激情综合色综合久久| 久久99久久99| 韩日精品视频一区| 国内不卡的二区三区中文字幕| 狠狠狠色丁香婷婷综合激情 | 精品福利在线导航| 欧美久久一区二区| 欧美亚洲日本国产| 99国产一区二区三精品乱码| 国产精品一二二区| 国产在线精品一区二区不卡了| 青青草原综合久久大伊人精品| 亚洲自拍欧美精品| 亚洲国产日日夜夜| 亚洲国产日韩综合久久精品| 一区二区三区资源| 伊人一区二区三区| 亚洲综合一区在线| 午夜久久久久久| 亚洲二区视频在线| 亚洲成人福利片| 精品一区免费av| 成人精品高清在线| 色94色欧美sute亚洲13| 99视频精品全部免费在线| av不卡在线播放| 91麻豆国产福利在线观看| 日本精品裸体写真集在线观看| 91在线国产福利| 一区二区三区欧美亚洲| 波多野结衣中文字幕一区 | 91丝袜美腿高跟国产极品老师 | 日韩欧美在线观看一区二区三区| 日韩精品一区二区三区视频 | 99九九99九九九视频精品| 欧美精品在线一区二区| 欧美一区二区不卡视频| 欧美日本一区二区三区四区| 国产成人免费xxxxxxxx| 丝袜a∨在线一区二区三区不卡| 在线免费观看视频一区| 成人app网站|