?? initcfg_xa.vhd
字號:
-------------------------------------------------------------------------------
-- (c) Copyright 2005 Actel Corporation
--
-- name: initcfg_xa.vhd
-- function: SMARTgen IP
-- Rev: 1.4 28Nov05
--
-------------------------------------------------------------------------------
library ieee;use ieee.std_logic_1164.all;use ieee.numeric_std.all;
entity stnpfdkggvx is
generic(znbxfhhzvcr:integer:=3;cdczdbxmjcn:integer:=1);
port(
qfkdtqtmwgp:in std_logic;vsjmxbsvvjq:in std_logic;mnpvqvrmnvj:in std_logic;
jqtbqqrcwjz:in std_logic;zxzxhqdwkbv:in std_logic;dkzmhvbwwdx:out std_logic;
vqrmhtjkzqt:out std_logic;hrntbjvgqzv:out std_logic;gcnkkhtppzx:out std_logic;
hvkccjqpncc:out std_logic;rzszkdbsprw:out std_logic;xpvfghqkcjs:out
std_logic_vector(cdczdbxmjcn-1 downto 0));end stnpfdkggvx;
architecture zkzbcmxmrjf of stnpfdkggvx
is type bfjbqwgdgfk is
(tvzdqnwfvfh,zmvpjkpbpxm,
xbwfvwmkpbv,qgtqskssqxc,ktpjzjzrqtg,nnkzhsghqkw,mdzgdrhtwkc,tdkqfrncvhq);signal
mwtvnzdkmwm:bfjbqwgdgfk;signal rhjctqnqzsn:unsigned(cdczdbxmjcn-1 downto 0);
signal vzsgqzmjhhd:std_logic;signal zxhzsmvtcmw:std_logic;signal
xwjbrstfxbz:std_logic;signal jqpkmtwjcrp:std_logic;signal vqgtqpnzzfp:std_logic;
signal jwnwmgnkjzj:std_logic;signal grfncxsbmcc:std_logic;signal
sbgqkwwvxjv:std_logic;signal gcxmmfbwhzh:std_logic;signal fftbtwxzfgh:std_logic;
signal zxrkpdrtvpn:std_logic;signal tpcvqwfnbkb:std_logic_vector(cdczdbxmjcn-1
downto 0);begin vzsgqzmjhhd<=qfkdtqtmwgp;zxhzsmvtcmw<=vsjmxbsvvjq;
xwjbrstfxbz<=mnpvqvrmnvj;jqpkmtwjcrp<=jqtbqqrcwjz;vqgtqpnzzfp<=zxzxhqdwkbv;
dkzmhvbwwdx<=jwnwmgnkjzj;vqrmhtjkzqt<=grfncxsbmcc;hrntbjvgqzv<=sbgqkwwvxjv;
gcnkkhtppzx<=gcxmmfbwhzh;hvkccjqpncc<=fftbtwxzfgh;rzszkdbsprw<=zxrkpdrtvpn;
xpvfghqkcjs<=tpcvqwfnbkb;tpcvqwfnbkb<=std_logic_vector(rhjctqnqzsn);
fftbtwxzfgh<='1' when mwtvnzdkmwm=qgtqskssqxc or mwtvnzdkmwm=ktpjzjzrqtg else
'0';grfncxsbmcc<='1' when mwtvnzdkmwm=zmvpjkpbpxm or mwtvnzdkmwm=xbwfvwmkpbv
else '0';gcxmmfbwhzh<='1' when mwtvnzdkmwm=tdkqfrncvhq else '0';
jwnwmgnkjzj<='1' when mwtvnzdkmwm=ktpjzjzrqtg and jqpkmtwjcrp='0' and
vqgtqpnzzfp='0' else '0';sbgqkwwvxjv<='1' when mwtvnzdkmwm=ktpjzjzrqtg else '0';
zxrkpdrtvpn<='1' when mwtvnzdkmwm/=tdkqfrncvhq and mwtvnzdkmwm/=tvzdqnwfvfh
else '0';process(vzsgqzmjhhd,zxhzsmvtcmw)begin if zxhzsmvtcmw='0' then
mwtvnzdkmwm<=tvzdqnwfvfh;rhjctqnqzsn<=(others=>'0');elsif rising_edge(
vzsgqzmjhhd)then case mwtvnzdkmwm is when tvzdqnwfvfh=>if xwjbrstfxbz='1' then
mwtvnzdkmwm<=zmvpjkpbpxm;end if;when zmvpjkpbpxm=>mwtvnzdkmwm<=xbwfvwmkpbv;when
xbwfvwmkpbv=>if jqpkmtwjcrp='0' then mwtvnzdkmwm<=qgtqskssqxc;end if;when
qgtqskssqxc=>mwtvnzdkmwm<=ktpjzjzrqtg;when ktpjzjzrqtg=>if jqpkmtwjcrp='0' then
if vqgtqpnzzfp='1' then mwtvnzdkmwm<=nnkzhsghqkw;else mwtvnzdkmwm<=qgtqskssqxc;
end if;end if;when nnkzhsghqkw=>if rhjctqnqzsn=znbxfhhzvcr - 1 then
rhjctqnqzsn<=(others=>'0');mwtvnzdkmwm<=mdzgdrhtwkc;else
rhjctqnqzsn<=rhjctqnqzsn + 1;mwtvnzdkmwm<=zmvpjkpbpxm;end if;when
mdzgdrhtwkc=>mwtvnzdkmwm<=tdkqfrncvhq;when
tdkqfrncvhq=>mwtvnzdkmwm<=tdkqfrncvhq;end case;end if;
end process;
end zkzbcmxmrjf;
library IEEE;use IEEE.std_logic_1164.all;use IEEE.numeric_std.all;
entity INITCFG_XA is
generic(MAX_CLIENT:integer:=3;MAX_CLIENT_BITS:integer:=1);
port(xaa:in std_logic;xab:in std_logic;xac:in std_logic;xad:in std_logic;xae:in
std_logic;xaf:out std_logic;xag:out std_logic;xah:out std_logic;xai:out
std_logic;xaj:out std_logic;xak:out std_logic;xal:out std_logic_vector(
MAX_CLIENT_BITS-1 downto 0));
end INITCFG_XA;
architecture behavior of INITCFG_XA
is component stnpfdkggvx
generic(znbxfhhzvcr:integer:=3;cdczdbxmjcn:integer:=1);
port(qfkdtqtmwgp:in std_logic;vsjmxbsvvjq:in std_logic;mnpvqvrmnvj:in std_logic;
jqtbqqrcwjz:in std_logic;zxzxhqdwkbv:in std_logic;dkzmhvbwwdx:out std_logic;
vqrmhtjkzqt:out std_logic;hrntbjvgqzv:out std_logic;gcnkkhtppzx:out std_logic;
hvkccjqpncc:out std_logic;rzszkdbsprw:out std_logic;xpvfghqkcjs:out
std_logic_vector(cdczdbxmjcn-1 downto 0));
end component;
begin u_stnpfdkggvx:stnpfdkggvx
generic map(znbxfhhzvcr=>MAX_CLIENT,
cdczdbxmjcn=>MAX_CLIENT_BITS)port map(qfkdtqtmwgp=>xaa,vsjmxbsvvjq=>xab,
mnpvqvrmnvj=>xac,jqtbqqrcwjz=>xad,zxzxhqdwkbv=>xae,dkzmhvbwwdx=>xaf,
vqrmhtjkzqt=>xag,hrntbjvgqzv=>xah,gcnkkhtppzx=>xai,hvkccjqpncc=>xaj,
rzszkdbsprw=>xak,xpvfghqkcjs=>xal);
end behavior;
?? 快捷鍵說明
復制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號
Ctrl + =
減小字號
Ctrl + -