?? initcfg.vhd
字號:
-------------------------------------------------------------------------------
-- (c) Copyright 2005 Actel Corporation
--
-- name: initcfg.vhd
-- function: SMARTgen IP
-- Rev: 1.4 28Nov05
--
-------------------------------------------------------------------------------
library ieee;use ieee.std_logic_1164.all;use ieee.numeric_std.all;entity
grwzcnqmqzm is generic(hphqhdwdhmf:integer:=8;chwbfzxpgsp:integer:=2;
mrffkbssmtq:integer:=1;qwsfndhqmkm:integer:=0;mnzfvzggbgp:integer:=0;
dqrxdcfppkj:integer:=0;sgsprpjjzdc:integer:=0;rsgtbhgqwcc:integer:=0;
bwstmtzrgsf:integer:=0;krvfnxvdczw:integer:=0;srsmtfkmzzq:integer:=0;
dfbxgpzpjqp:integer:=0;cjjhrtwmvpv:integer:=0;jhgkdhvwcfb:integer:=0;
htwtmbfrnfz:integer:=0;kgztbhmkskk:integer:=0;bzqvhzjcdph:integer:=0;
cnxcpvmknsk:integer:=0;frfwqrrdddg:integer:=0;xkvjnpdmkkm:integer:=0;
xnmjhccrpbz:integer:=0;spbwnqbxkkn:integer:=0;fsqrqsfbjhm:integer:=0;
tfbkdzcghbt:integer:=0;zzbncfwtcjb:integer:=0;dpkfnwtwdjx:integer:=0;
zvxxwrbtgqz:integer:=0;jfjcmxmmgjr:integer:=0;vrnqqtvpznx:integer:=0;
czxqdxtxdcd:integer:=0;gvdxfskncvn:integer:=0;qmvrkmnxnbr:integer:=0;
mjqhrwtncqv:integer:=0;vnfbfdkcmct:integer:=0;vxjhvxtcdqc:integer:=0;
cmdcwdfbsqd:integer:=0;bnjnjsfcbcw:integer:=0;xkvntfssjpv:integer:=0;
srpkvvkkrzt:integer:=0;fgkrxwdxwtc:integer:=0;xkjrjgwpqdm:integer:=0;
zdqqwkgkhhx:integer:=0;hzgsvvbxngk:integer:=0;sthncdwvjtw:integer:=0;
tsqgqxvmnhz:integer:=0;qnzjbnnwknh:integer:=0;pmnbjxmfgxd:integer:=0;
nqxzdhmssdr:integer:=0;bnkjcrhcdfs:integer:=0;wmmrphpmvnv:integer:=0;
dmxmzjbtdmr:integer:=0;tkftzwrbhbh:integer:=0;svvgxqdxdfv:integer:=0;
czrwqbddqjn:integer:=0;nczxtwvzskw:integer:=0;vcvqnbfhntg:integer:=0;
zfjxqtzgtfg:integer:=0;tkvdjvhrvvg:integer:=0;hprgsrzhrfm:integer:=0;
wvxsdzmgnjr:integer:=0;vmzrgwpkkqm:integer:=0;sktdchbdzgd:integer:=0;
tgqmncfnssz:integer:=0;rwbpgntsknz:integer:=0;rtnjmbcbzsp:integer:=0;
dxpspzjbxvw:integer:=0;svwmmdmkcxj:integer:=0;fqwvkwrjzqp:integer:=0;
hrjrtbfwxrz:integer:=0;ntxtvbcmgpz:integer:=0;vbwxjbjvxxn:integer:=0;
rssdfnxtdvc:integer:=0;qqhddwcfdcz:integer:=0;msnmxsssvws:integer:=0;
dmvxrrpgczz:integer:=0;mrrhxvjqgwg:integer:=0;xnspvwjhsrt:integer:=0;
gsgvwbvcxxh:integer:=0;smgkxmdgnww:integer:=0;bwqtbcpddzs:integer:=0;
qmmhqcjngdv:integer:=0;mmncfgsdhxd:integer:=0;fxwwxvbngvv:integer:=0;
pxxzhqhrxbb:integer:=0;dqwtkvpgwnq:integer:=0;pvhbxphxmvf:integer:=0;
rvkfqjhzvct:integer:=0;rnpdjmgrnth:integer:=0;mknhpztjddj:integer:=0;
xqfppbdhtsk:integer:=0;dszbfpkvfbj:integer:=0;xhjkjhvvsnq:integer:=0;
rwpszkwsmxz:integer:=0;wxhvvzpspmh:integer:=0;kknpckfzwtf:integer:=0;
skfpvdnmxmp:integer:=0;cbjgqdrxwmp:integer:=0;hzwkdjjmxfs:integer:=0;
bchrncjjwsv:integer:=0;bfbnckxtmdb:integer:=0;jkvwdrbrfts:integer:=0;
bmcbrpctvbr:integer:=0;zdtxzwtxzvs:integer:=0;tpmcsxpqzbn:integer:=0;
grvkswpvkwq:integer:=0;jjscqqxjjvv:integer:=0;jccckstbdxm:integer:=0;
pxjxdpsnwrw:integer:=0;mrzvztzvgqn:integer:=0;wtfkktxvfmx:integer:=0;
nxxhhgrxhqs:integer:=0;mhkvqwkwqbb:integer:=0;pmrrqbmrcgp:integer:=0;
fnqrcfmrdzn:integer:=0;vgvrpwwvdss:integer:=0;pfsbjdzqfvg:integer:=0;
kspfmtsrdgt:integer:=0;mtvnfqkxztb:integer:=0;ktvcqzbfwsd:integer:=0;
bzvfztsfwmw:integer:=0;qdhpcpjhdtx:integer:=0;jzswvrrbxmj:integer:=0;
sgrkdxfmpms:integer:=0;ctjqbtwmmbb:integer:=0;rzgvvbpxdbj:integer:=0;
szcqjdwxxtb:integer:=0;prkzsrdjzfw:integer:=0;cjmsxfcqphp:integer:=0;
cbtwsxgjskh:integer:=0;rdmjjdgbpqc:integer:=0;xdzvsmzqdcg:integer:=0;
djqmrdpfnmr:integer:=0;spdkwdsgmfp:integer:=0;mkjxqvbtvtn:integer:=0;
kjcscgxkwms:integer:=0;zvmkwvmrjrn:integer:=0;zqzcmjszsww:integer:=0;
hgdstcphzmj:integer:=0;mbgtkvbrhxm:integer:=0;rvkcdcqhsmr:integer:=0;
nsvxghqdwmg:integer:=0;dfskhhtdtvk:integer:=0;xwwpknvmqfs:integer:=0;
xxknhppdddk:integer:=0;jhrvrddtrhs:integer:=0;wmrvjdtcvxw:integer:=0;
ctrdrgbshxz:integer:=0;hwswxkkwgmx:integer:=0;xvqkxksqbzs:integer:=0;
vckqgxjzgxk:integer:=0;bszfqjbpxwc:integer:=0;mxrbbgsncsh:integer:=0;
kctswhsknqs:integer:=0;cfrvfjhrjxr:integer:=0;rjjhwrzttkk:integer:=0;
kxtphsvvhtz:integer:=0;qtdzbtkkhdh:integer:=0;shskssnqxjs:integer:=0;
npbhhrxjpdc:integer:=0;pbvqszcggcs:integer:=0;gxvrnvrdppt:integer:=0;
mrjrkqsjzjf:integer:=0;dsvbrwznsqc:integer:=0;qxzhkvfczgs:integer:=0;
ctgcjxmqzdm:integer:=0;vzcfwjrfmgb:integer:=0;cqqwsrtsqmk:integer:=0;
hhjxqzfgxsm:integer:=0;jpkqqjqjfhz:integer:=0;kkkhfcjdbdx:integer:=0;
pswdnhnqqzn:integer:=0;qpbnbmmmxdp:integer:=0;ftnhdsrvkjt:integer:=0;
jhbxdvvbnnb:integer:=0;bffwzxzdhtp:integer:=0;rdzsnqvnwpt:integer:=0;
rxbbwtczwpn:integer:=0;ksmbfwhbcng:integer:=0;cjfddvjjcrk:integer:=0;
fcsqdfbtzrw:integer:=0;mvfhnfszskm:integer:=0;knxzcnxvjnd:integer:=0;
qkkhtcvbcnh:integer:=0;wjprktmcfrc:integer:=0;rxgmdrkrhpz:integer:=0;
twpmsfbwcsm:integer:=0;svmtwrgtvfd:integer:=0;vrmmqfwzqkr:integer:=0;
jwtbmzgtdxd:integer:=0;tcrrgtgxkdf:integer:=0;ggcpxvbjdrn:integer:=0;
hhxpbkrnccd:integer:=0;nzxdwnfgpht:integer:=0;wdqsgpsfckn:integer:=0;
zmfhggxffbp:integer:=0;pnpxbshxzfs:integer:=0;dnkkskdswmc:integer:=0;
gkhvbjwhwqk:integer:=0;wbkmrnhzbwh:integer:=0;hckcjhwbbms:integer:=0;
zcfkchdpqgs:integer:=0;mqznqpdgnmq:integer:=0;vchqmxkkrkz:integer:=0;
qwnqnmnzxtp:integer:=0;mprmnhgdpgn:integer:=0;bxtfvwzdhsx:integer:=0;
nvjdmjzxbsv:integer:=0;brbdgnrcbxr:integer:=0;pvvgznjbgvv:integer:=0;
fvvsxrbrzcj:integer:=0;gvnrsnwbvmv:integer:=0;cnzjvnmxjrw:integer:=0;
krbffwhrcqx:integer:=0;mtvfjrkgpkz:integer:=0;ckcrrjvkqmn:integer:=0;
tvbqswfzxrm:integer:=0;czndjxqvbdr:integer:=0;htsqcvpnzgh:integer:=0;
gfkkqgcvxgt:integer:=0;jqtwfdtrgqb:integer:=0;trvzqpqnhjv:integer:=0;
nmcmbpnqwgh:integer:=0;ckbsfstjhzk:integer:=0;zrqfmxthkrn:integer:=0;
zfhfvcpxtmr:integer:=0;nrmmpmtsjkf:integer:=0;gknwsxnqjnj:integer:=0;
rwtcdffwfhz:integer:=0;rdmwdhdwvmt:integer:=0;btntkxgjtzj:integer:=0;
xztswjtzbpd:integer:=0;grppscvmzgd:integer:=0;bjmspsjngqc:integer:=0;
dcscbsphcww:integer:=0;qvvzbvmkbnk:integer:=0;btqkpsdftgf:integer:=0;
fvgdhqkpjdw:integer:=0;pjkpmxxpzgc:integer:=0;tgpfsdgnhzh:integer:=0;
hgkfjktpqhh:integer:=0;txgfwszcgmt:integer:=0;tdphcnkdmxp:integer:=0;
vqrtgnmdjkk:integer:=0;sjvqmrntfnc:integer:=0;mmphfgtfbts:integer:=0;
pnwmpwcshnw:integer:=0;dgtngpvsbbp:integer:=0;skrqwkbtkvt:integer:=0;
jmcsvjgjhtp:integer:=0;sfzskfqvxfm:integer:=0;skpgpvncgws:integer:=0;
jhmwhmhqcqw:integer:=0;qgxtcgswbnf:integer:=0;wpncbvgjqvj:integer:=0;
xxgrnwdpzmx:integer:=0;rcmwqthkhgd:integer:=0;nqxfhjkpvwq:integer:=0;
qtqdkrpdvwp:integer:=0;xvnnrwbxjnz:integer:=0;gmfwnthrgkm:integer:=0;
twzkqhctfkk:integer:=0;qjrcssqvzcd:integer:=0;ktqdrrnfnrw:integer:=0;
qkzzbkzfctn:integer:=0;swskhfzvwjz:integer:=0;dhjgnvmjgfr:integer:=0;
sfjgcfshqfd:integer:=0;gzhhtvhntfd:integer:=0;npmbxmcbvmv:integer:=0;
kmwbgvknjgd:integer:=0;kpppznbbdfv:integer:=0;bjgqzjkbjzp:integer:=0;
xbbxtfpcfrk:integer:=0;vkhssjnrmbv:integer:=0;zznfmdvhgdf:integer:=0;
jjsjmcpzghm:integer:=0;pwmcgjchgzr:integer:=0;bbkrxvvrfqj:integer:=0;
gqhtjfvtdrd:integer:=0;wwsqqgwrbsw:integer:=0;szgrxvdztph:integer:=0;
vjxkrfbtkxz:integer:=0;hqzhbfbsmsz:integer:=0;fbqjzcmsdbj:integer:=0;
tdfdrwpbwhp:integer:=0;vwgbvrrmvtz:integer:=0;njhcsdcdhps:integer:=0;
qktmmmfccqd:integer:=0;tcxtqrvhfgb:integer:=0;wndfpmdtvfs:integer:=0;
ttmdsjscmdr:integer:=0;trsmwbsqkrr:integer:=0;hkfsvvzpccz:integer:=0;
mwgcfmfjbqv:integer:=0;rtjfsjcmvks:integer:=0;pqbntwtdxzq:integer:=0;
hfcdrwrppbw:integer:=0;dsrfxggsgjt:integer:=0;zfsjfzxnqxj:integer:=0;
gjmvqfkzvzk:integer:=0;gxfjqmdxpjb:integer:=0;xsvzzzkbvbd:integer:=0;
hcfzbrngkbm:integer:=0;pnkjqzszqxs:integer:=0;tfgznpfmxvr:integer:=0;
qbgvszhsgsk:integer:=0;tsrgcpmxfvb:integer:=0;ptbkgnhqbwv:integer:=0;
xvfsnktdkbj:integer:=0;xzmfknddghc:integer:=0;xhcqfjsdcdn:integer:=0;
nfqzbqxdprp:integer:=0;hsmkkmhtkpv:integer:=0;drgfftfkfjj:integer:=0;
fvmmvjcqzhp:integer:=0;cknrdmmqpcc:integer:=0;ddrvcfqjfqd:integer:=0;
cvzsqwhdkcm:integer:=0;jjcsmbdpsjx:integer:=0;schwdxfmtjf:integer:=0;
dtmgqznzpgc:integer:=0;bkksdpfxbrb:integer:=0;fhxhjzhxnwm:integer:=0);port(
cwgjgncbnhn:in std_logic;cxnrnfthhhz:in std_logic;jczrqhzxwbx:in std_logic;
vghvncnfbtm:in std_logic_vector(((9*chwbfzxpgsp)-1)downto 0);zztcxbvdqbf:in
std_logic_vector(chwbfzxpgsp-1 downto 0);svmndgsjgbk:in std_logic_vector(17
downto 0);fnhfvbwcztv:in std_logic;xmrktkhrbjs:in std_logic_vector(31 downto 0);
jtqbnhqzjpb:in std_logic;rtvwdpxdfrx:in std_logic;khxnwkhpsmt:in std_logic;
rxmzdkzkxqt:in std_logic;krpnwdxjbnz:in std_logic;rjwmncbrxmf:in std_logic;
zftqchcdhzz:in std_logic;vhhhfnxdxfn:in std_logic;cvkpbrzsqjg:in std_logic;
dphtcncpfzs:in std_logic;hzfchvwbctz:in std_logic;nctbfcgndfk:in std_logic;
hzdcqtzccpg:in std_logic_vector(1 downto 0);xdstghjkwpv:in std_logic;
mwggvbmbxvt:in std_logic;wbvcfkfdgww:in std_logic;ntppckjcwxd:in std_logic;
rrfkhdrdvfp:in std_logic;zqnqhnsdztv:in std_logic_vector(31 downto 0);
bqxchnmdnjc:in std_logic_vector(1 downto 0);tgvzzszhxpp:out std_logic_vector(17
downto 0);sjxknpxqkdf:out std_logic;tjscstqbrfz:out std_logic_vector(31 downto
0);wqjtjntdnzq:out std_logic;qmwpbsgksmg:out std_logic;wpbnhnqqbjw:out
std_logic;rvnpkgprxqd:out std_logic;dnkzhbcbdnc:out std_logic;qmbdtfbjrnq:out
std_logic;stzfnwczmqq:out std_logic;bmgcjjksdfg:out std_logic;hmzstjjfnpv:out
std_logic;skmgcfgkzzg:out std_logic;rfsfbmcsscc:out std_logic;wsjwsgjppvr:out
std_logic;nsvkrxrgbbh:out std_logic_vector(1 downto 0);gzkxdscvrzh:out
std_logic;zzhcgkjrbbq:out std_logic;tvjbfnvcjtv:out std_logic_vector(
hphqhdwdhmf-1 downto 0);hsxsxksccwg:out std_logic_vector(8 downto 0);
rdvxgwttbsd:out std_logic_vector(chwbfzxpgsp-1 downto 0);kmvftnkfswg:out
std_logic;ftwvqzdkwhp:out std_logic;gvhjshjdfcc:out std_logic_vector(31 downto
0);vndwzrwbhfv:out std_logic;rvncdrrrwvg:out std_logic_vector(1 downto 0);
qpkbfhrprrz:out std_logic);end grwzcnqmqzm;architecture mjtkskcsjhk of
grwzcnqmqzm is component INITCFG_XA generic(MAX_CLIENT:integer:=3;
MAX_CLIENT_BITS:integer:=1);port(xaa:in std_logic;xab:in std_logic;xac:in
std_logic;xad:in std_logic;xae:in std_logic;xaf:out std_logic;xag:out std_logic;
xah:out std_logic;xai:out std_logic;xaj:out std_logic;xak:out std_logic;xal:out
std_logic_vector(MAX_CLIENT_BITS-1 downto 0));end component;component
INITCFG_XB generic(MAX_CLIENT:integer:=4;MAX_CLIENT_BITS:integer:=1);port(
xaa:in std_logic;xab:in std_logic;xac:in std_logic;xad:in std_logic;xae:in
std_logic;xaf:in std_logic;xag:in std_logic;xah:in std_logic;xai:out std_logic;
xaj:out std_logic;xak:out std_logic;xal:out std_logic;xam:out std_logic;xan:out
std_logic;xap:out std_logic_vector(MAX_CLIENT_BITS-1 downto 0);xao:out
std_logic);end component;component INITCFG_XC generic(MAX_CLIENT:integer:=4;
MAX_CLIENT_BITS:integer:=2;MAX_WORD_BIT:integer:=10);port(xaa:in
std_logic_vector((9*MAX_CLIENT)-1 downto 0);xab:in std_logic_vector(
MAX_CLIENT-1 downto 0);xac:in std_logic;xad:in std_logic_vector(
MAX_CLIENT_BITS-1 downto 0);xae:in std_logic;xaf:in std_logic;xag:in
std_logic_vector(MAX_CLIENT_BITS-1 downto 0);xah:in std_logic_vector(17 downto
0);xai:in std_logic_vector(MAX_CLIENT-1 downto 0);xaj:in std_logic_vector((
MAX_WORD_BIT*MAX_CLIENT)-1 downto 0);xak:in std_logic_vector((18*MAX_CLIENT)-1
downto 0);xal:in std_logic_vector(MAX_CLIENT-1 downto 0);xam:in
std_logic_vector((2*MAX_CLIENT)-1 downto 0);xan:in std_logic;xao:in std_logic;
xap:in std_logic;xaq:in std_logic;xar:in std_logic_vector(17 downto 0);xas:in
std_logic;xat:in std_logic_vector(31 downto 0);xau:in std_logic;xav:in
std_logic;xaw:in std_logic;xax:in std_logic;xay:in std_logic;xaz:in std_logic;
xba:in std_logic;xbb:in std_logic;xbc:in std_logic;xbd:in std_logic;xbe:in
std_logic;xbf:in std_logic;xbg:in std_logic;xbh:in std_logic_vector(1 downto 0);
xbi:in std_logic;xbj:in std_logic;xbk:in std_logic;xbl:in std_logic;xbm:out
std_logic_vector(17 downto 0);xbn:out std_logic;xbo:out std_logic_vector(31
downto 0);xbp:out std_logic;xbq:out std_logic;xbr:out std_logic;xbs:out
std_logic;xbt:out std_logic;xbu:out std_logic;xbv:out std_logic;xbw:out
std_logic;xbx:out std_logic;xby:out std_logic;xbz:out std_logic;xca:out
std_logic;xcb:out std_logic;xcc:out std_logic_vector(1 downto 0);xcd:out
std_logic;xce:out std_logic_vector(17 downto 0);xcf:out std_logic;xcg:out
std_logic_vector(1 downto 0);xch:out std_logic;xci:out std_logic;xcj:out
std_logic_vector(MAX_WORD_BIT-1 downto 0);xck:out std_logic;xcl:out std_logic;
xcm:out std_logic);end component;component INITCFG_XD generic(
MAX_WORD_BIT:integer:=10);port(xaa:in std_logic;xab:in std_logic;xac:in
std_logic;xad:in std_logic;xae:in std_logic_vector(17 downto 0);xaf:in
std_logic_vector(MAX_WORD_BIT-1 downto 0);xag:in std_logic_vector(1 downto 0);
?? 快捷鍵說明
復制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號
Ctrl + =
減小字號
Ctrl + -