亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關于我們
? 蟲蟲下載站

?? fpu_mul.vhd

?? this file is floating point multplication
?? VHD
字號:
-------------------------------------------------------------------------                                                             --------  FPU                                                        --------  Floating Point Unit (Double precision)                     --------                                                             --------  Author: David Lundgren                                     --------          davidklun@gmail.com                                --------                                                             -----------------------------------------------------------------------------                                                             -------- Copyright (C) 2009 David Lundgren                           --------                  davidklun@gmail.com                        --------                                                             -------- This source file may be used and distributed without        -------- restriction provided that this copyright statement is not   -------- removed from the file and that any derivative work contains -------- the original copyright notice and the associated disclaimer.--------                                                             --------     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     -------- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   -------- TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   -------- FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      -------- OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         -------- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    -------- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   -------- GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        -------- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  -------- LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  -------- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  -------- OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         -------- POSSIBILITY OF SUCH DAMAGE.                                 --------                                                             -------------------------------------------------------------------------	LIBRARY ieee;	USE ieee.std_logic_1164.all;	USE ieee.std_logic_arith.all;	use ieee.std_logic_unsigned.all;	use ieee.std_logic_misc.all;	library work;	use work.fpupack.all;		ENTITY fpu_mul IS   PORT(       clk : IN     std_logic;      rst : IN     std_logic;      enable  : IN     std_logic;      opa : IN     std_logic_vector (63 DOWNTO 0);      opb : IN     std_logic_vector (63 DOWNTO 0);      sign : OUT    std_logic;      product_7 : OUT    std_logic_vector (55 DOWNTO 0);      exponent_5 : OUT    std_logic_vector (11 DOWNTO 0)   );	END fpu_mul;			architecture rtl of fpu_mul is		signal 		product_shift : std_logic_vector(5 downto 0);	signal 		product_shift_2 : std_logic_vector(5 downto 0);	signal 		mantissa_a : std_logic_vector(51 downto 0);	signal 		mantissa_b : std_logic_vector(51 downto 0);	signal 		exponent_a : std_logic_vector(11 downto 0);	signal 		exponent_b : std_logic_vector(11 downto 0);	signal		a_is_norm : std_logic;	signal		b_is_norm : std_logic;	signal		a_is_zero : std_logic; 	signal		b_is_zero : std_logic; 	signal		in_zero : std_logic;	signal   	exponent_terms : std_logic_vector(11 downto 0);	signal    	exponent_gt_expoffset : std_logic;	signal   	exponent_under : std_logic_vector(11 downto 0);	signal   	exponent_1 : std_logic_vector(11 downto 0);	signal   	exponent : std_logic_vector(11 downto 0);	signal   	exponent_2 : std_logic_vector(11 downto 0);	signal   	exponent_gt_prodshift : std_logic;	signal   	exponent_3 : std_logic_vector(11 downto 0);	signal   	exponent_4 : std_logic_vector(11 downto 0);	signal  	exponent_et_zero : std_logic;	signal   	mul_a : std_logic_vector(52 downto 0);	signal   	mul_b : std_logic_vector(52 downto 0);	signal		product_a : std_logic_vector(40 downto 0);	signal		product_b : std_logic_vector(40 downto 0);	signal		product_c : std_logic_vector(40 downto 0);	signal		product_d : std_logic_vector(25 downto 0);	signal		product_e : std_logic_vector(33 downto 0);	signal		product_f : std_logic_vector(33 downto 0);	signal		product_g : std_logic_vector(35 downto 0);	signal		product_h : std_logic_vector(28 downto 0);	signal		product_i : std_logic_vector(28 downto 0);	signal		product_j : std_logic_vector(30 downto 0);	signal		sum_0 : std_logic_vector(41 downto 0);	signal		sum_1 : std_logic_vector(35 downto 0);	signal		sum_2 : std_logic_vector(41 downto 0);	signal		sum_3 : std_logic_vector(35 downto 0);	signal		sum_4 : std_logic_vector(36 downto 0);	signal		sum_5 : std_logic_vector(27 downto 0);	signal		sum_6 : std_logic_vector(29 downto 0);	signal		sum_7 : std_logic_vector(36 downto 0);	signal		sum_8 : std_logic_vector(30 downto 0);	signal  	product : std_logic_vector(105 downto 0);	signal  	product_1 : std_logic_vector(105 downto 0);	signal  	product_2 : std_logic_vector(105 downto 0);	signal  	product_3 : std_logic_vector(105 downto 0);	signal  	product_4 : std_logic_vector(105 downto 0); 	signal  	product_5 : std_logic_vector(105 downto 0);	signal  	product_6 : std_logic_vector(105 downto 0);	signal		product_lsb : std_logic;		begin		product_7 <= '0' & product_6(105 downto 52) & product_lsb; 		exponent <= "000000000000";	process	begin	wait until clk'event and clk = '1';		if (rst = '1') then			sign <= '0';			mantissa_a <= (others =>'0');			mantissa_b <= (others =>'0');			exponent_a <= (others =>'0');			exponent_b <= (others =>'0');			a_is_norm <= '0';			b_is_norm <= '0';			a_is_zero <= '0'; 			b_is_zero <= '0'; 			in_zero <= '0';			exponent_terms <= (others =>'0');			exponent_gt_expoffset <= '0';			exponent_under <= (others =>'0');			exponent_1 <= (others =>'0'); 			exponent_2 <= (others =>'0');			exponent_gt_prodshift <= '0';			exponent_3 <= (others =>'0');			exponent_4 <= (others =>'0');			exponent_et_zero <= '0';			mul_a <= (others =>'0'); 			mul_b <= (others =>'0');			product_a <= (others =>'0');			product_b <= (others =>'0');			product_c <= (others =>'0');			product_d <= (others =>'0');			product_e <= (others =>'0');			product_f <= (others =>'0');			product_g <= (others =>'0');			product_h <= (others =>'0');			product_i <= (others =>'0');			product_j <= (others =>'0');			sum_0 <= (others =>'0');			sum_1 <= (others =>'0');			sum_2 <= (others =>'0');			sum_3 <= (others =>'0');			sum_4 <= (others =>'0');			sum_5 <= (others =>'0');			sum_6 <= (others =>'0');			sum_7 <= (others =>'0');			sum_8 <= (others =>'0');			product <= (others =>'0');			product_1 <= (others =>'0');			product_2 <= (others =>'0'); 			product_3 <= (others =>'0');			product_4 <= (others =>'0');			product_5 <= (others =>'0'); 			product_6 <= (others =>'0');			product_lsb <= '0';			exponent_5 <= (others =>'0');			product_shift <= (others =>'0');			product_shift_2 <= (others =>'0');		elsif (enable = '1') then			sign <= opa(63) xor opb(63);			exponent_a <= '0' & opa(62 downto 52);			exponent_b <= '0' & opb(62 downto 52);			mantissa_a <= opa(51 downto 0);			mantissa_b <= opb(51 downto 0);			a_is_norm <= or_reduce(exponent_a);			b_is_norm <= or_reduce(exponent_b);			a_is_zero <= not or_reduce(opa(62 downto 0)); 			b_is_zero <= not or_reduce(opb(62 downto 0)); 			in_zero <= a_is_zero or b_is_zero;			exponent_terms <= exponent_a + exponent_b + ( "0000000000" & not a_is_norm) + 							("0000000000" & not b_is_norm);			if (exponent_terms > "001111111101") then				exponent_gt_expoffset <= '1';			else				exponent_gt_expoffset <= '0';			end if;			exponent_under <= "001111111110" - exponent_terms;			exponent_1 <= exponent_terms - "001111111110"; 			if (exponent_gt_expoffset = '1') then				exponent_2 <= exponent_1;			else				exponent_2 <= exponent;			end if;			if (exponent_2 > product_shift_2) then				exponent_gt_prodshift <= '1';			else				exponent_gt_prodshift <= '0';			end if;			exponent_3 <= exponent_2 - product_shift_2;			if (exponent_gt_prodshift = '1') then				exponent_4 <= exponent_3;			else				exponent_4 <= exponent;			end if;			if (exponent_4 = "000000000000") then				exponent_et_zero <= '1';			else				exponent_et_zero <= '0';			end if;			mul_a <= a_is_norm & mantissa_a;			mul_b <= b_is_norm & mantissa_b;			product_a <= mul_a(23 downto 0) * mul_b(16 downto 0);			product_b <= mul_a(23 downto 0) * mul_b(33 downto 17);			product_c <= mul_a(23 downto 0) * mul_b(50 downto 34);			product_d <= mul_a(23 downto 0) * mul_b(52 downto 51);			product_e <= mul_a(40 downto 24) * mul_b(16 downto 0);			product_f <= mul_a(40 downto 24) * mul_b(33 downto 17);			product_g <= mul_a(40 downto 24) * mul_b(52 downto 34);			product_h <= mul_a(52 downto 41) * mul_b(16 downto 0);			product_i <= mul_a(52 downto 41) * mul_b(33 downto 17);			product_j <= mul_a(52 downto 41) * mul_b(52 downto 34);			sum_0 <= product_a(40 downto 17) + ( '0' & product_b);			sum_1 <= ('0' & sum_0(41 downto 7)) + product_e;			sum_2 <= sum_1(35 downto 10) + ('0' & product_c);			sum_3 <= ( '0' & sum_2(41 downto 7)) + product_h;			sum_4 <= ( '0' & sum_3) + product_f;			sum_5 <= ('0' & sum_4(36 downto 10)) + product_d;			sum_6 <= sum_5(27 downto 7) + ('0' & product_i);			sum_7 <= sum_6 + ('0' & product_g);			sum_8 <= sum_7(36 downto 17) + product_j;			product <=  sum_8 & sum_7(16 downto 0) & sum_5(6 downto 0) & sum_4(9 downto 0) & sum_2(6 downto 0) &						sum_1(9 downto 0) & sum_0(6 downto 0) & product_a(16 downto 0);			product_1 <= shr(product, exponent_under);			if (exponent_gt_prodshift = '1') then				product_5 <= product_3;			else				product_5 <= product_4;			end if;			if (exponent_gt_expoffset = '1') then				product_2 <= product;			else				product_2 <= product_1;			end if;			product_3 <= shl(product_2, product_shift_2);			product_4 <= shl(product_2, exponent_2);			if (exponent_gt_prodshift = '1') then				product_5 <= product_3;			else				product_5 <= product_4;			end if;			if (exponent_et_zero = '1') then				product_6 <= shr(product_5, conv_std_logic_vector('1', 106));			else				product_6 <= product_5;			end if;			product_lsb <= or_reduce(product_6(51 downto 0));			if (in_zero = '1') then				exponent_5 <= "000000000000";			else				exponent_5 <= exponent_4;			end if;			product_shift <= count_zeros_mul(product(105 downto 0));			product_shift_2 <= product_shift;		end if;	end process;	end rtl;

?? 快捷鍵說明

復制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
日韩欧美一级二级三级| 91久久精品午夜一区二区| 欧美电影免费提供在线观看| 婷婷六月综合网| 3atv在线一区二区三区| 日韩av中文字幕一区二区三区 | 国产亚洲一区字幕| 高清国产一区二区| 亚洲少妇屁股交4| 欧美在线视频日韩| 天天综合网 天天综合色| 日韩一区二区影院| 国产尤物一区二区| 亚洲欧美自拍偷拍色图| 色婷婷久久久综合中文字幕| 亚洲国产视频一区| 日韩欧美国产一区二区在线播放| 激情小说欧美图片| 成人免费一区二区三区视频| 91黄色小视频| 青椒成人免费视频| 欧美国产丝袜视频| 欧美在线免费视屏| 久久99精品久久久久久久久久久久| 久久久久久久性| 色悠久久久久综合欧美99| 偷拍一区二区三区四区| 久久亚洲精品小早川怜子| av电影在线观看完整版一区二区| 亚洲综合小说图片| 久久综合久久综合亚洲| 91美女福利视频| 看电视剧不卡顿的网站| 国产精品家庭影院| 日韩一区和二区| 成人一区二区三区中文字幕| 亚洲一区二区三区四区在线免费观看| 日韩欧美国产精品| 色综合一区二区| 久久99久久99小草精品免视看| 国产精品久久久一区麻豆最新章节| 欧美日产在线观看| 波多野结衣亚洲| 奇米色一区二区| 一区二区三区在线免费播放| 精品国产一二三| 欧美美女一区二区三区| 成人免费电影视频| 麻豆久久久久久| 亚洲国产精品久久久久秋霞影院| 国产欧美在线观看一区| 欧美猛男gaygay网站| 色综合婷婷久久| 国产成人精品亚洲日本在线桃色| 丝袜美腿一区二区三区| 亚洲男女一区二区三区| 久久精品免费在线观看| 日韩片之四级片| 欧美老年两性高潮| 91久久精品网| 91在线高清观看| 成人午夜视频福利| 国产一区二区三区香蕉| 免费观看日韩电影| 日韩高清不卡一区二区| 一二三区精品福利视频| 国产精品免费久久| 久久久久久久久久久久电影| 欧美一区二区三区喷汁尤物| 欧美视频自拍偷拍| 在线免费观看一区| 色av综合在线| 色悠悠久久综合| 色悠久久久久综合欧美99| aaa欧美日韩| 成人av网站免费| 成人免费视频视频在线观看免费| 极品少妇xxxx精品少妇| 美国毛片一区二区| 美女视频一区二区| 久久电影网电视剧免费观看| 免费成人美女在线观看| 美女一区二区在线观看| 青青草国产精品97视觉盛宴| 日本最新不卡在线| 日韩精品成人一区二区三区| 亚洲成av人片在www色猫咪| 亚洲v精品v日韩v欧美v专区| 亚洲成av人片在线观看无码| 亚洲电影第三页| 日韩二区在线观看| 久久av中文字幕片| 国产激情一区二区三区桃花岛亚洲| 国模娜娜一区二区三区| 国产成+人+日韩+欧美+亚洲| 成人h动漫精品一区二区| 91在线观看地址| 欧美日韩一区在线| 精品区一区二区| 欧美国产欧美综合| 亚洲麻豆国产自偷在线| 亚洲综合视频在线观看| 天堂午夜影视日韩欧美一区二区| 日本午夜一区二区| 国产一区二区福利视频| 99国产精品久久久| 欧美日韩在线播| 久久一夜天堂av一区二区三区| 亚洲国产精品ⅴa在线观看| 亚洲男人的天堂一区二区| 天堂成人国产精品一区| 国产在线视频一区二区| 99re66热这里只有精品3直播 | 毛片基地黄久久久久久天堂| 国产美女精品人人做人人爽| 成人激情av网| 欧美精品久久99| 国产亚洲精品福利| 亚洲国产精品久久久久秋霞影院 | 一区二区三区精品在线| 青娱乐精品视频在线| 成人免费av网站| 欧美日韩国产高清一区二区三区 | 日韩三级高清在线| 国产精品国产自产拍在线| 日韩在线卡一卡二| av一区二区久久| 日韩三级视频中文字幕| 亚洲美女淫视频| 韩国一区二区在线观看| 91久久精品一区二区三| 国产午夜精品一区二区三区嫩草| 亚洲一区在线观看免费观看电影高清 | 国产精品久久久久久久久免费樱桃| 亚洲成a人片在线观看中文| 国产成a人亚洲| 欧美一区二区久久| 亚洲精品国产精华液| 国产麻豆精品在线观看| 欧美精品第1页| 中文字幕一区二区三区精华液| 日本成人在线看| 91成人免费电影| 国产精品乱码久久久久久| 久久99国产精品麻豆| 欧美视频精品在线观看| 国产精品理论在线观看| 国产一区二区网址| 日韩免费在线观看| 五月天一区二区三区| 91色在线porny| 中文字幕成人在线观看| 精品一区二区三区在线观看| 欧美伊人久久大香线蕉综合69 | 国产成人激情av| 日韩欧美一级二级| 视频一区中文字幕国产| 91成人免费电影| 亚洲精品成a人| 99re亚洲国产精品| 国产精品私人自拍| 国产91精品久久久久久久网曝门| 日韩精品一区二区三区在线| 天堂一区二区在线免费观看| 欧美视频在线播放| 亚洲午夜在线电影| 在线一区二区观看| 一区二区三区四区中文字幕| www.亚洲免费av| **欧美大码日韩| 97久久精品人人做人人爽50路| 欧美极品少妇xxxxⅹ高跟鞋| 国产成人在线电影| 日本一区二区成人在线| 成人免费av资源| 亚洲欧洲无码一区二区三区| 97久久精品人人爽人人爽蜜臀| 国产精品高潮呻吟久久| 成人黄色小视频| 亚洲欧美一区二区不卡| 日本道精品一区二区三区| 亚洲国产另类av| 3atv一区二区三区| 日本免费在线视频不卡一不卡二| 欧美一卡二卡三卡| 久久狠狠亚洲综合| 久久人人爽人人爽| 成人国产精品免费网站| 亚洲人xxxx| 欧美精品v国产精品v日韩精品| 青椒成人免费视频| 久久久精品免费免费| av电影在线不卡| 性做久久久久久久免费看| 欧美一区二区三区影视| 国产很黄免费观看久久| 亚洲视频电影在线| 欧美福利视频导航| 国产麻豆午夜三级精品| 亚洲美女屁股眼交3|