亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

蟲蟲首頁| 資源下載| 資源專輯| 精品軟件
登錄| 注冊

if

  • 射頻基礎知識

    Radio frequency (RF) can be a complex subject to navigate, but it does not have to be. if you are just getting started with radios or maybe you cannot find that old reference book about antenna aperture, this guide can help. It is intended to provide a basic understanding of RF technology, as well act as a quick reference for those who “know their stuff” but may be looking to brush up on that one niche term that they never quite understood. This document is also a useful reference for Maxim’s products and data sheets, an index to deeper analysis found in our application notes, and a general reference for all things RF.

    標簽: 射頻 基礎知識

    上傳時間: 2013-10-23

    上傳用戶:685

  • 無線技術指南

    Radio frequency (RF) can be a complex subject to navigate, but it does not have to be. if you are just getting started with radios or maybe you cannot find that old reference book about antenna aperture, this guide can help. It is intended to provide a basic understanding of RF technology, as well act as a quick reference for those who “know their stuff” but may be looking to brush up on that one niche term that they never quite understood. This document is also a useful reference for Maxim’s products and data sheets, an index to deeper analysis found in our application notes, and a general reference for all things RF.

    標簽: 無線技術

    上傳時間: 2013-10-08

    上傳用戶:kinochen

  • LTC3207,LTC3207-1用戶指南

      The LTC®3207/LTC3207-1 is a 600mA LED/Camera driverwhich illuminates 12 Universal LEDs (ULEDs) and onecamera fl ash LED. The ULEDs are considered universalbecause they may be individually turned on or off, setin general purpose output (GPO) mode, set to blink at aselected on-time and period, or gradate on and off at aselected gradation rate. This device also has an externalenable (ENU) pin that may be used to blink, gradate, orturn on/off the LEDs without using the I2C bus. This may beuseful if the microprocessor is in sleep or standby mode. ifused properly, these features may save valuable memoryspace, programming time, and reduce the I2C traffi c.

    標簽: 3207 LTC 用戶

    上傳時間: 2014-01-04

    上傳用戶:LANCE

  • 半導體制造技術_英文教程

    The correct answer for each test bank question is highlighted in bold. Test bank questions are based on the end-of-chapter questions. if a student studies the end-of-chapter questions (which are linked to the italicized words in each chapter), then they will be successful on the test bank questions.

    標簽: 半導體制造技術 英文 教程

    上傳時間: 2014-12-31

    上傳用戶:旗魚旗魚

  • 10種軟件濾波方法的示例程序 文檔

    10種軟件濾波方法的示例程序 假定從8位AD中讀取數據(如果是更高位的AD可定義數據類型為int),子程序為get_ad(); 1、限副濾波 /*  A值可根據實際情況調整     value為有效值,new_value為當前采樣值       濾波程序返回有效的實際值  */ #define A 10 char value; char filter() {    char  new_value;    new_value = get_ad();    if ( ( new_value - value > A ) || ( value - new_value > A )       return value;    return new_value;           }

    標簽: 軟件 濾波方法 文檔 程序

    上傳時間: 2013-11-11

    上傳用戶:gxf2016

  • lcd計數顯示程序

    library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity counter is     Port ( clk : in std_logic;      resetn : in std_logic;            dout : out std_logic_vector(7 downto 0);            lcd_en : out std_logic;            lcd_rs : out std_logic;            lcd_rw   : out std_logic); end counter;

    標簽: lcd 計數顯示 程序

    上傳時間: 2013-10-30

    上傳用戶:wqxstar

  • 基于(英蓓特)STM32V100的看門狗程序

    This example shows how to update at regulate period the WWDG counter using theEarly Wakeup interrupt (EWI). The WWDG timeout is set to 262ms, refresh window set to 41h and the EWI isenabled. When the WWDG counter reaches 40h the EWI is generated and in the WWDGISR the counter is refreshed to prevent a WWDG reset and led connected to PC.07is toggled.The EXTI line9 is connected to PB.09 pin and configured to generate an interrupton falling edge.In the NVIC, EXTI line9 to 5 interrupt vector is enabled with priority equal to 0and the WWDG interrupt vector is enabled with priority equal to 1 (EXTI IT > WWDG IT). The EXTI Line9 will be used to simulate a software failure: once the EXTI line9event occurs (by pressing Key push-button on EVAL board) the correspondent interruptis served, in the ISR the led connected to PC.07 is turned off and the EXTI line9pending bit is not cleared. So the CPU will execute indefinitely EXTI line9 ISR andthe WWDG ISR will never be entered(WWDG counter not updated). As result, when theWWDG counter falls to 3Fh the WWDG reset occurs.if the EXTI line9 event don抰 occurs the WWDG counter is indefinitely refreshed inthe WWDG ISR which prevent from WWDG reset. if the WWDG reset is generated, after resuming from reset a led connected to PC.06is turned on. In this example the system is clocked by the HSE(8MHz).

    標簽: V100 STM 100 32V

    上傳時間: 2013-11-11

    上傳用戶:gundamwzc

  • 醫院信息管理系統源代碼

    if exists (select * from dbo.sysobjects where id = object_id(N'[dbo].[FK_藥品庫存_藥品資料]') and OBJECTPROPERTY(id, N'IsForeignKey') = 1) ALTER TABLE [dbo].[藥品庫存] DROP CONSTRAINT FK_藥品庫存_藥品資料 GO if exists (select * from dbo.sysobjects where id = object_id(N'[dbo].[FK_門診劃價明細_門診劃價]') and OBJECTPROPERTY(id, N'IsForeignKey') = 1) ALTER TABLE [dbo].[門診劃價明細] DROP CONSTRAINT FK_門診劃價明細_門診劃價 GO if exists (select * from dbo.sysobjects where id = object_id(N'[dbo].[FK_門診掛號_門診掛號類型]') and OBJECTPROPERTY(id, N'IsForeignKey') = 1) ALTER TABLE [dbo].[門診掛號] DROP CONSTRAINT FK_門診掛號_門診掛號類型 GO if exists (select * from dbo.sysobjects where id = object_id(N'[dbo].[產地]') and OBJECTPROPERTY(id, N'IsUserTable') = 1) drop table [dbo].[產地] GO if exists (select * from dbo.sysobjects where id = object_id(N'[dbo].[醫生資料]') and OBJECTPROPERTY(id, N'IsUserTable') = 1) drop table [dbo].[醫生資料]

    標簽: 信息管理系統 源代碼

    上傳時間: 2013-11-12

    上傳用戶:sunshie

  • 酒店管理系統源代碼

    if exists (select * from dbo.sysobjects where id = object_id(N\'[dbo].[FK_帳單明細_入住單]\') and OBJECTPROPERTY(id, N\'IsForeignKey\') = 1) ALTER TABLE [dbo].[帳單明細] DROP CONSTRAINT FK_帳單明細_入住單 GO if exists (select * from dbo.sysobjects where id = object_id(N\'[dbo].[FK_入住單_客房信息]\') and OBJECTPROPERTY(id, N\'IsForeignKey\') = 1) ALTER TABLE [dbo].[入住單] DROP CONSTRAINT FK_入住單_客房信息 GO if exists (select * from dbo.sysobjects where id = object_id(N\'[dbo].[FK_客房信息_客房類型]\') and OBJECTPROPERTY(id, N\'IsForeignKey\') = 1) ALTER TABLE [dbo].[客房信息] DROP CONSTRAINT FK_客房信息_客房類型

    標簽: 管理系統 源代碼

    上傳時間: 2014-03-23

    上傳用戶:chenhr

  • c#數據庫開發實例

    c#數據庫開發實例:有很多的實例,對學習非常的有意義! 酒店管理系統源代碼 醫院信息管理系統源代碼 圖書館管理系統源代碼 財務管理系統源代碼 生產管理系統源代碼 人力資源管理實例程序源代碼 進銷存管理實例程序源代碼 if exists (select * from dbo.sysobjects where id = object_id(N'[dbo].[采購訂單_供貨商_fk]') and OBJECTPROPERTY(id, N'IsForeignKey') = 1) ALTER TABLE [dbo].[采購訂單] DROP CONSTRAINT 采購訂單_供貨商_fk GO if exists (select * from dbo.sysobjects where id = object_id(N'[dbo].[采購訂單歷史_供貨商_fk]') and OBJECTPROPERTY(id, N'IsForeignKey') = 1) ALTER TABLE [dbo].[采購訂單歷史] DROP CONSTRAINT 采購訂單歷史_供貨商_fk

    標簽: 數據庫 開發實例

    上傳時間: 2013-10-30

    上傳用戶:392210346

主站蜘蛛池模板: 漾濞| 平凉市| 介休市| 茶陵县| 石家庄市| 澎湖县| 孟津县| 天长市| 兴安县| 九江县| 台东市| 洛川县| 册亨县| 淮安市| 建始县| 德格县| 望都县| 阿勒泰市| 读书| 桑日县| 平乐县| 宜丰县| 远安县| 巴林左旗| 公主岭市| 开鲁县| 靖边县| 平安县| 庐江县| 安徽省| 嵩明县| 辽阳市| 钟祥市| 南陵县| 鄯善县| 津南区| 聊城市| 连州市| 福海县| 葵青区| 徐闻县|