亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關(guān)于我們
? 蟲蟲下載站

?? uart_5kvg_top.vhd

?? lattice的串口仿真的程序
?? VHD
字號:
-- --------------------------------------------------------------------
-- >>>>>>>>>>>>>>>>>>>>>>>>> COPYRIGHT NOTICE <<<<<<<<<<<<<<<<<<<<<<<<<
-- --------------------------------------------------------------------
-- Copyright (c) 2001 by Lattice Semiconductor Corporation
-- --------------------------------------------------------------------
--
-- Permission:
--
--   Lattice Semiconductor grants permission to use this code for use
--   in synthesis for any Lattice programmable logic product.  Other
--   use of this code, including the selling or duplication of any
--   portion is strictly prohibited.
--
-- Disclaimer:
--
--   This VHDL or Verilog source code is intended as a design reference
--   which illustrates how these types of functions can be implemented.
--   It is the user's responsibility to verify their design for
--   consistency and functionality through the use of formal
--   verification methods.  Lattice Semiconductor provides no warranty
--   regarding the use or functionality of this code.
--
-- --------------------------------------------------------------------
--           
--                     Lattice Semiconductor Corporation
--                     5555 NE Moore Court
--                     Hillsboro, OR 97214
--                     U.S.A
--
--                     TEL: 1-800-Lattice (USA and Canada)
--                          408-826-6000 (other locations)
--
--                     web: http://www.latticesemi.com/
--                     email: techsupport@latticesemi.com
--
-- --------------------------------------------------------------------
--
--  Project:           Universal Asynchronous Receiver Transmitter
--  File:              UART_top.vhd
--  Title:             UART_top
--  Design Library:    IEEE
--  Dependencies:      IEEE.std_logic_1164.all
--                     IEEE.std_logic_unsigned.all
--  Description:       TOP VHDL file for the UART design
--
--    <Global reset and clock>
--      MR     : Master reset
--      MCLK   : Master clock
--
--    <Processor interface>
--      A      : Address bus
--      DIN    : Data bus input
--      DOUT   : Data but output
--      ADSn   : Address strobe
--      CS     : Chip Select
--      RDn    : Read
--      WRn    : Write
--      DDIS   : Driver disable
--      INTR   : Interrupt
--
--    <Receiver interface>
--      SIN    : Receiver serial input
--      RxRDYn : Receiver ready, low active when RBR data is available
--
--    <Transmitter interface>
--      SOUT   : Transmitter serial output
--      TxRDYn : Transmitter ready, low active when THR is empty
--
--    <Modem interface>
--      DCDn   : Data Carrier Detect
--      CTSn   : Clear To Send
--      DSRn   : Data Set Ready
--      RIn    : Ring Indicator
--      DTRn   : Data Terminal Ready
--      RTSn   : Request To Send
--
-- --------------------------------------------------------------------
--
-- Revision History :
-- --------------------------------------------------------------------
--   Ver  :| Author            :| Mod. Date :| Changes Made:
--   V1.1 :| J.H.              :| 06/19/01  :| Support ispMACH 5000VG
-- --------------------------------------------------------------------

library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_Unsigned.all;
use ieee.numeric_std.all;

library lattice;
use lattice.components.all;

entity Uart_top is
  port (
    -- Global reset and clock
    MR     : in  std_logic; -- Master reset
    MCLK   : in  std_logic; -- Master clock

    -- Processor interface
    A      : in  std_logic_vector(2 downto 0); -- Address bus
    DIN    : in  std_logic_vector(7 downto 0); -- Data bus input
    DOUT   : out std_logic_vector(7 downto 0); -- Data but output
    ADSn   : in  std_logic; -- Address strobe
    CS     : in  std_logic; -- Chip Select
    RDn    : in  std_logic; -- Read
    WRn    : in  std_logic; -- Write
    DDIS   : out std_logic; -- Driver disable
    INTR   : out std_logic; -- Interrupt

    -- Receiver interface
    SIN    : in  std_logic; -- Receiver serial input
    RxRDYn : out std_logic; -- Receiver ready

    -- Transmitter interface
    SOUT   : out std_logic; -- Transmitter serial output
    TxRDYn : out std_logic; -- Transmitter ready

    -- Modem interface
    DCDn   : in  std_logic; -- Data Carrier Detect
    CTSn   : in  std_logic; -- Clear To Send
    DSRn   : in  std_logic; -- Data Set Ready
    RIn    : in  std_logic; -- Ring Indicator
    DTRn   : out std_logic; -- Data Terminal Ready
    RTSn   : out std_logic  -- Request To Send
  );
end Uart_top;

architecture Uart_top_a of Uart_top is
  component stdpll
    generic(
      in_freq  : string;
      mult     : string;
      div      : string;
      post     : string;
      pll_dly  : string
    );
    port (
      clk_in   : in  std_logic;
      pll_lock : out std_logic;
      clk_out  : out std_logic
    );
  end component;

  component Intface
    port (
      -- Global reset and clock
      Reset       : in  std_logic; -- Master reset
      Clk16X      : in  std_logic; -- UART internal clock
      -- Processor interface
      A           : in  std_logic_vector(2 downto 0); -- Address bus
      DIN         : in  std_logic_vector(7 downto 0); -- Data bus input
      DOUT        : out std_logic_vector(7 downto 0); -- Data but output
      ADSn        : in  std_logic; -- Address strobe
      CS          : in  std_logic; -- Chip Select
      RDn         : in  std_logic; -- Read
      WRn         : in  std_logic; -- Write
      DDIS        : out std_logic; -- Driver disable
      INTR        : out std_logic; -- Interrupt
      -- Registers
      RBR         : in  std_logic_vector(7 downto 0); -- Receiver Buffer Reg
      THR         : out std_logic_vector(7 downto 0); -- Transmitter Holding Reg
      MSR         : in  std_logic_vector(7 downto 0); -- Modem Status Reg
      MCR         : out std_logic_vector(1 downto 0); -- Modem Control Reg
      -- Rising edge of registers read/write strobes
      RbrRDn_re   : out   std_logic; -- pulse indicating rising of RbrRDn_r
      ThrWRn_re   : out   std_logic; -- pulse indicating rising of ThrWRn_r
      LsrRDn_re   : inout std_logic; -- pulse indicating rising of LsrRDn_r
      MsrRDn_re   : inout std_logic; -- pulse indicating rising of MsrRDn_r
      -- Receiver/Transmitter control
      Databits    : out std_logic_vector(1 downto 0);
      Stopbits    : out std_logic_vector(1 downto 0);
      ParityEnable: out std_logic;
      ParityEven  : out std_logic;
      ParityStick : out std_logic;
      TxBreak     : out std_logic;
      -- Receiver/Transmitter status
      RxRDY       : in  std_logic;
      OverrunErr  : in  std_logic;
      ParityErr   : in  std_logic;
      FrameErr    : in  std_logic;
      BreakInt    : in  std_logic;
      THRE        : in  std_logic;
      TEMT        : in  std_logic
    );
  end component;

  component Modem
    port (
      -- Global reset and clock
      Reset      : in  std_logic; -- Master reset
      Clk16X     : in  std_logic; -- UART internal clock
      -- Registers
      MCR        : in std_logic_vector(1 downto 0);  -- Modem Control Reg
      MSR        : out std_logic_vector(7 downto 0); -- Modem Status Reg
      -- Rising Edge of MSR Read Strobe
      MsrRDn_re  : in std_logic;  -- pulse indicating rising of MsrRDn_r
      -- Modem interface
      DCDn       : in std_logic;  -- Data Carrier Detect
      CTSn       : in std_logic;  -- Clear To Send
      DSRn       : in std_logic;  -- Data Set Ready
      RIn        : in std_logic;  -- Ring Indicator
      DTRn       : out std_logic; -- Data Terminal Ready
      RTSn       : out std_logic  -- Request To Send
    );
  end component;

  component Rxcver
    port (
      -- Global reset and clock
      Reset       : in  std_logic; -- Master reset
      Clk16X      : in  std_logic; -- UART internal clock
      -- Register RBR
      RBR         : out std_logic_vector(7 downto 0); -- Receiver Buffer Reg
      -- Rising edge of RBR, LSR read strobes
      RbrRDn_re   : in  std_logic; -- pulse indicating rising of RbrRDn_r
      LsrRDn_re   : in  std_logic; -- pulse indicating rising of LsrRDn_r
      -- Receiver input
      SIN         : in  std_logic;
      -- Receiver control
      Databits    : in  std_logic_vector(1 downto 0); -- Data bits length
      ParityEnable: in  std_logic; -- 0= Parity Disabled; 1= Parity Enabled
      ParityEven  : in  std_logic; -- 0= Odd Parity; 1= Even Parity
      ParityStick : in  std_logic; -- 0= Stick Disabled; 1= Stick Enabled
      -- Receiver status
      RxRDY       : out std_logic; -- Receiver data ready to read
      OverrunErr  : out std_logic; -- Receiver overrun error flag
      ParityErr   : out std_logic; -- Receiver parity error flag
      FrameErr    : out std_logic; -- Receiver framing error flag
      BreakInt    : out std_logic  -- Receiver BREAK interrupt flag
    );
  end component;

  component Txmitt
    port (
      -- Global reset and clock
      Reset       : in  std_logic; -- Master reset
      Clk16X      : in  std_logic; -- UART internal clock
      -- Register THR
      THR         : in  std_logic_vector(7 downto 0); -- Transmitter Holding Reg
      -- Rising edge of THR write strobe
      ThrWRn_re   : in  std_logic; -- pulse indicating rising of ThrWRn_r
      -- Transmitter output
      SOUT        : out std_logic;
      -- Transmitter control
      DataBits    : in  std_logic_vector(1 downto 0);
      StopBits    : in  std_logic_vector(1 downto 0);
      ParityEnable: in  std_logic;
      ParityEven  : in  std_logic;
      ParityStick : in  std_logic;
      TxBreak     : in  std_logic;
      -- Transmitter status
      THRE        : out std_logic;
      TEMT        : out std_logic
    );
  end component;

  signal RBR         : std_logic_vector(7 downto 0);
  signal THR         : std_logic_vector(7 downto 0);
  signal MSR         : std_logic_vector(7 downto 0);
  signal MCR         : std_logic_vector(1 downto 0);

  signal DataBits    : std_logic_vector(1 downto 0);
  signal StopBits    : std_logic_vector(1 downto 0);
  signal ParityEnable: std_logic;
  signal ParityEven  : std_logic;
  signal ParityStick : std_logic;
  signal TxBreak     : std_logic;

  signal ThrWRn_re   : std_logic;
  signal RbrRDn_re   : std_logic;
  signal LsrRDn_re   : std_logic;
  signal MsrRDn_re   : std_logic;

  signal RxRDY       : std_logic;
  signal ParityErr   : std_logic;
  signal FrameErr    : std_logic;
  signal OverrunErr  : std_logic;
  signal BreakInt    : std_logic;

  signal THRE        : std_logic;
  signal TEMT        : std_logic;

  -- PLL attribute declaration
  attribute in_freq              : string;
  attribute mult                 : string;
  attribute div                  : string;
  attribute post                 : string;
  attribute pll_dly              : string;
  attribute clk_out_to_pin       : string;
  attribute in_freq of I1        : label is "20.0000";
  attribute mult    of I1        : label is "5";
  attribute div     of I1        : label is "2";
  attribute post    of I1        : label is "2";
  attribute pll_dly of I1        : label is "0.0";
  attribute clk_out_to_pin of I1 : label is "OFF";

  -- PLL signal declaration
  signal PllLOCK : std_logic;
  signal PllCLK  : std_logic;

  signal Reset   : std_logic;

begin

  U1: Intface port map(
    -- Global reset and clock
    Reset        => Reset,
    Clk16X       => PllCLK,
    -- Processor interface
    A            => A,
    DIN          => DIN,
    DOUT         => DOUT,
    ADSn         => ADSn,
    CS           => CS,
    RDn          => RDn,
    WRn          => WRn,
    DDIS         => DDIS,
    INTR         => INTR,
    -- Registers
    RBR          => RBR,
    THR          => THR,
    MSR          => MSR,
    MCR          => MCR,
    -- Rising edge of registers read/write strobes
    RbrRDn_re    => RbrRDn_re,
    ThrWRn_re    => ThrWRn_re,
    LsrRDn_re    => LsrRDn_re,
    MsrRDn_re    => MsrRDn_re,
    -- Receiver/Transmitter control
    DataBits     => DataBits,
    StopBits     => StopBits,
    ParityEnable => ParityEnable,
    ParityEven   => ParityEven,
    ParityStick  => ParityStick,
    TxBreak      => TxBreak,
    -- Receiver/Transmitter status
    RxRDY        => RxRDY,
    OverrunErr   => OverrunErr,
    ParityErr    => ParityErr,
    FrameErr     => FrameErr,
    BreakInt     => BreakInt,
    THRE         => THRE,
    TEMT         => TEMT
  );

  U2: Modem port map(
    -- Global reset and clock
    Reset        => Reset,
    Clk16X       => PllCLK,
    -- Registers
    MSR          => MSR,
    MCR          => MCR,
    -- Rising Edge of MSR Read Strobe
    MsrRDn_re    => MsrRDn_re,
    -- Modem interface
    DCDn         => DCDn,
    CTSn         => CTSn,
    DSRn         => DSRn,
    RIn          => RIn,
    DTRn         => DTRn,
    RTSn         => RTSn
  );

  U3: Rxcver port map(
    -- Global reset and clock
    Reset        => Reset,
    Clk16X       => PllCLK,
    -- Register RBR
    RBR          => RBR,
    -- Rising edge of RBR, LSR read strobes
    RbrRDn_re    => RbrRDn_re,
    LsrRDn_re    => LsrRDn_re,
    -- Receiver input
    SIN          => SIN,
    -- Receiver control
    Databits     => Databits,
    ParityEnable => ParityEnable,
    ParityEven   => ParityEven,
    ParityStick  => ParityStick,
    -- Receiver status
    RxRDY        => RxRDY,
    OverrunErr   => OverrunErr,
    ParityErr    => ParityErr,
    FrameErr     => FrameErr,
    BreakInt     => BreakInt
  );

  U4: Txmitt port map(
    -- Global reset and clock
    Reset        => Reset,
    Clk16X       => PllCLK,
    -- Register THR
    THR          => THR,
    -- Rising edge of THR write strobe
    ThrWRn_re    => ThrWRn_re,
    -- Transmitter control
    DataBits     => DataBits,
    StopBits     => StopBits,
    ParityEnable => ParityEnable,
    ParityEven   => ParityEven,
    ParityStick  => ParityStick,
    TxBreak      => TxBreak,
    -- Transmitter output
    SOUT         => SOUT,
    -- Transmitter status
    THRE         => THRE,
    TEMT         => TEMT
  );

  I1: stdpll
    generic map(
      in_freq => "20.0000",
      mult    => "5",
      div     => "2",
      post    => "2",
      pll_dly => "0.0"
    ) port map(
      clk_in   => MCLK,
      pll_lock => PllLOCK,
      clk_out  => PllCLK
  );

  -- TxRDYn, RxRDYn is low active output
  TxRDYn <= not THRE;
  RxRDYn <= not RxRDY;

  -- Reset Signal
  Reset <= MR or not PllLOCK;

end UART_top_a;

?? 快捷鍵說明

復(fù)制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
亚洲黄色性网站| 91视频国产观看| 欧美激情一区在线| 欧美日韩黄色一区二区| 麻豆精品视频在线| 亚洲欧美日韩一区| 久久嫩草精品久久久久| 欧美性视频一区二区三区| 国产精品伊人色| 亚洲.国产.中文慕字在线| 日本一区二区动态图| 3atv一区二区三区| 91在线观看下载| 国产精品影视在线观看| 无码av免费一区二区三区试看| 国产欧美一区二区精品忘忧草| 在线91免费看| 在线亚洲一区观看| eeuss鲁一区二区三区| 青草av.久久免费一区| 亚洲国产日韩一级| 亚洲欧美另类久久久精品| 久久久久99精品一区| 欧美一区二区三区免费观看视频 | 最新久久zyz资源站| 日韩欧美国产三级| 欧美片在线播放| 91欧美激情一区二区三区成人| 国产麻豆视频一区二区| 久久精品国产亚洲一区二区三区| 亚洲成人7777| 亚洲成人资源在线| 亚洲国产欧美一区二区三区丁香婷 | 国产一区二区三区在线观看精品| 首页国产欧美日韩丝袜| 一级做a爱片久久| 一区二区视频在线| 亚洲欧美激情一区二区| 最新国产成人在线观看| 国产精品三级av在线播放| 国产三级精品在线| 久久久99久久| 国产欧美日韩三级| 中文在线一区二区| 久久精品免视看| 久久精子c满五个校花| 日本一区二区综合亚洲| 久久久三级国产网站| 久久综合色综合88| 日本一区二区视频在线观看| 中文字幕不卡的av| 日韩伦理av电影| 亚洲中国最大av网站| 亚洲妇女屁股眼交7| 亚洲国产成人精品视频| 三级一区在线视频先锋| 麻豆精品视频在线观看| 国产自产v一区二区三区c| 极品瑜伽女神91| 成人免费看的视频| 91视频在线观看免费| 欧美影院精品一区| 欧美一区二区三区在线看| 欧美mv日韩mv国产网站| 国产免费久久精品| 亚洲乱码国产乱码精品精可以看| 亚洲综合视频网| 美女精品自拍一二三四| 高清日韩电视剧大全免费| 99久久久久久| 欧美日韩不卡一区二区| 久久久天堂av| 亚洲精品国产第一综合99久久| 亚洲成人午夜电影| 国产一区二区福利| 色婷婷一区二区三区四区| 7777精品久久久大香线蕉| 精品国产91乱码一区二区三区| 国产精品欧美综合在线| 亚洲国产一区二区在线播放| 韩国欧美一区二区| 一本色道a无线码一区v| 日韩亚洲欧美高清| 国产精品伦一区| 午夜影院久久久| 丁香激情综合国产| 欧美日本一区二区三区四区| 国产偷国产偷精品高清尤物| 亚洲精品乱码久久久久久黑人| 久久99精品久久久久婷婷| 91亚洲国产成人精品一区二三| 在线播放国产精品二区一二区四区| 久久一日本道色综合| 亚洲午夜精品网| 国产91高潮流白浆在线麻豆| 欧美日韩和欧美的一区二区| 中文久久乱码一区二区| 热久久国产精品| 色就色 综合激情| 2023国产精华国产精品| 亚洲h动漫在线| 97久久精品人人爽人人爽蜜臀| 这里只有精品视频在线观看| ㊣最新国产の精品bt伙计久久| 久久www免费人成看片高清| 欧美在线一二三| 国产精品女主播av| 精品一区二区综合| 欧美日韩日本视频| 亚洲免费观看在线观看| 国产乱淫av一区二区三区 | 精品国产伦理网| 亚洲精品国产精华液| 国产成人在线色| 日韩一级完整毛片| 丝袜美腿高跟呻吟高潮一区| 91视频免费看| 国产精品大尺度| 国产麻豆视频一区| 欧美大度的电影原声| 视频一区视频二区中文| 日本道色综合久久| 国产精品福利影院| 顶级嫩模精品视频在线看| 日韩欧美的一区| 秋霞成人午夜伦在线观看| 欧美艳星brazzers| 一区二区三区免费| 欧洲精品中文字幕| 亚洲女同一区二区| 色婷婷亚洲精品| 亚洲美女免费视频| 91美女视频网站| 亚洲免费在线播放| 97精品国产露脸对白| 中文字幕在线不卡视频| 成人免费视频app| 国产精品女同一区二区三区| 粉嫩久久99精品久久久久久夜| 亚洲国产精品av| jiyouzz国产精品久久| 日韩一区中文字幕| 91免费视频大全| 亚洲欧美日韩人成在线播放| 色噜噜久久综合| 香港成人在线视频| 欧美一级二级三级乱码| 久久99国内精品| 久久久不卡影院| 99视频有精品| 亚洲综合999| 在线综合视频播放| 精油按摩中文字幕久久| 久久一区二区视频| av中文字幕亚洲| 亚洲一区二区高清| 欧美美女bb生活片| 免费视频一区二区| 久久亚洲综合色一区二区三区| 国产91精品一区二区麻豆网站| 综合久久一区二区三区| 欧美日韩在线三区| 激情综合色综合久久综合| 国产欧美一区二区精品忘忧草 | av电影天堂一区二区在线观看| 亚洲精品中文在线| 欧美日韩高清在线播放| 韩国女主播一区| 中文字幕一区二区三区在线播放| 欧美性xxxxx极品少妇| 青青草视频一区| 国产精品欧美一区二区三区| 欧美性感一类影片在线播放| 美女www一区二区| 国产精品久久毛片av大全日韩| 在线精品视频免费观看| 美女在线观看视频一区二区| 亚洲国产精品激情在线观看| 99精品欧美一区二区三区小说| 亚洲成在人线免费| 国产色91在线| 精品视频999| 丁香网亚洲国际| 午夜久久久久久电影| 久久久一区二区| 欧美日韩国产天堂| 国产电影精品久久禁18| 亚洲成在人线在线播放| 国产调教视频一区| 91麻豆精品国产91久久久| 成人性视频免费网站| 天天综合天天综合色| 亚洲国产岛国毛片在线| 宅男噜噜噜66一区二区66| 成人美女视频在线观看18| 日本成人中文字幕| 综合av第一页| 久久伊99综合婷婷久久伊| 欧美日韩国产高清一区二区三区| 国产成人免费在线观看不卡|