亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關于我們
? 蟲蟲下載站

?? it51_glue.vhd

?? 這是最新版本修正過後的8051,經過debug並有實現在某家公司的silicon上ㄛ
?? VHD
?? 第 1 頁 / 共 2 頁
字號:
---------------------------------------------------------------------------------   IT51 (Improved-T51)                                                     ----                                                                           ----   VERSION: 030723                                                         ----                                                                           ----   Contact: yfchen58@gmail.com                                             ----                                                                           -----------------------------------------------------------------------------------                                                                           ----  IT51 - Improved T51 (VHDL 1-Cycle 8051 Compatible Microcontroller)       ----  Copyright (c) 2001-2002 Daniel Wallner (jesus@opencores.org)             ----                          Yung-Fu Chen (yfchen58@ms49.hinet.net)           ----                                                                           -----------------------------------------------------------------------------------  FETURE                                                                   ----     . IT51_top interface is similar to synopsys DW8051                    ----     . High-Performance 1-Cycle 8051                                       ----     . instruction compatible with standard DW8051                         ----     . 256 byte internal data memory                                       ----     . up to 64KB external data memory                                     ----     . up to 64KB internal program memory                                  ----     . export sfr-bus                                                      ----     . no dual-port memory used                                            ----     . no watch-dog timer                                                  ----     . dual DPTR (DPTR0, DPTR1), refer to DW8051                           ----     . sleep mode support, refer to DW8051                                 ----     . no stop mode                                                        ----     . six external interrupt, refer to DW8051                             ----     . pass all DW8051 test-pattern                                        ----     . UART/Timer are not fully tested yet                                 ----     . no internal tri-state bus                                           ----     . 2-Cycle MUL Instruction                                             ----                                                                           -----------------------------------------------------------------------------------                                                                           ----  IT51_top (Interface Compatible with Synopsys DW8051)                     ----     |                                                                     ----     +-- IT51_core (Control Unit)                                          ----     |       |                                                             ----     |       +-- IT51_ALU (ALU)                                            ----     |               |                                                     ----     |               +-- IT51_MD (MUL/DIV)                                 ----     |                                                                     ----     +-- IT51_Glue (Glue Logic)                                            ----     |                                                                     ----     +-- IT51_TC01 (Timer/Counter-1)                                       ----     |                                                                     ----     +-- IT51_TC2 (Timer/Counter-2)                                        ----     |                                                                     ----     +-- IT51_UART (UART)                                                  ----                                                                           ----------------------------------------------------------------------------------- ============================================================================-- The original T51 license is listed below:-- ============================================================================---- 8051 compatible microcontroller core---- Version : 0218---- Copyright (c) 2001-2002 Daniel Wallner (jesus@opencores.org)---- All rights reserved---- Redistribution and use in source and synthezised forms, with or without-- modification, are permitted provided that the following conditions are met:---- Redistributions of source code must retain the above copyright notice,-- this list of conditions and the following disclaimer.---- Redistributions in synthesized form must reproduce the above copyright-- notice, this list of conditions and the following disclaimer in the-- documentation and/or other materials provided with the distribution.---- Neither the name of the author nor the names of other contributors may-- be used to endorse or promote products derived from this software without-- specific prior written permission.---- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE-- POSSIBILITY OF SUCH DAMAGE.---- Please report bugs to the author, but before you do so, please-- make sure that this is not a derivative work and that-- you have the latest version of this file.---- The latest version of this file can be found at:--	http://www.opencores.org/cvsweb.shtml/t51/---- Limitations :---- File history :---- ============================================================================library IEEE;use IEEE.std_logic_1164.all;library work;use work.IT51_Pack.all;entity IT51_Glue is    port(        Clk         : in std_logic;        Rst_n       : in std_logic;-- interrupt source        INT0        : in std_logic;  -- edge  or level, active low        INT1        : in std_logic;  -- edge  or level, active low        INT2        : in std_logic;  -- edge, active high        INT3        : in std_logic;  -- edge, active low        INT4        : in std_logic;  -- edge, active high        INT5        : in std_logic;  -- edge, active low--        RI          : in std_logic;        TI          : in std_logic;        OF0         : in std_logic;        OF1         : in std_logic;        OF2         : in std_logic;        IO_Wr       : in std_logic;        IO_Rd       : in std_logic;-- YFC >>        IO_CS       : out std_logic;-- <<        IO_Addr     : in std_logic_vector(6 downto 0);        IO_Addr_r   : in std_logic_vector(6 downto 0);        IO_WData    : in std_logic_vector(7 downto 0);        IO_RData    : out std_logic_vector(7 downto 0);        Int_Acc     : in std_logic_vector(10 downto 0);        Int_Trig    : out std_logic_vector(10 downto 0);        R0          : out std_logic;        R1          : out std_logic;        SMOD        : out std_logic;        TMOD_Sel    : out std_logic;        TL0_Sel     : out std_logic;        TL1_Sel     : out std_logic;        TH0_Sel     : out std_logic;        TH1_Sel     : out std_logic;        T2CON_Sel   : out std_logic;        RCAP2L_Sel  : out std_logic;        RCAP2H_Sel  : out std_logic;        TL2_Sel     : out std_logic;        TH2_Sel     : out std_logic;        SCON_Sel    : out std_logic;        SBUF_Sel    : out std_logic;        TMOD_Wr     : out std_logic;        TL0_Wr      : out std_logic;        TL1_Wr      : out std_logic;        TH0_Wr      : out std_logic;        TH1_Wr      : out std_logic;        T2CON_Wr    : out std_logic;        RCAP2L_Wr   : out std_logic;        RCAP2H_Wr   : out std_logic;        TL2_Wr      : out std_logic;        TH2_Wr      : out std_logic;        SCON_Wr     : out std_logic;        SBUF_Wr     : out std_logic;        Idle_n      : out std_logic;        Stop_n      : out std_logic    );end IT51_Glue;architecture rtl of IT51_Glue is    signal  IE         : std_logic_vector(7 downto 0);    signal  TCON       : std_logic_vector(7 downto 0);    signal  PCON       : std_logic_vector(7 downto 0);    alias   IDLE       : std_logic is PCON(0);    alias   STOP       : std_logic is PCON(1);    signal  Int0_r     : std_logic_vector(1 downto 0);    signal  Int1_r     : std_logic_vector(1 downto 0);    signal  Int2_r     : std_logic_vector(1 downto 0);    signal  Int3_r     : std_logic_vector(1 downto 0);    signal  Int4_r     : std_logic_vector(1 downto 0);    signal  Int5_r     : std_logic_vector(1 downto 0);    signal  Int_Trig_i : std_logic_vector(10 downto 0);    signal  EXIF       : std_logic_vector(7 downto 0);    signal  EIE        : std_logic_vector(7 downto 0);    signal  TMOD_Sel_i,    TMOD_Sel_r    : std_logic;    signal  TL0_Sel_i,     TL0_Sel_r     : std_logic;    signal  TL1_Sel_i,     TL1_Sel_r     : std_logic;    signal  TL2_Sel_i,     TL2_Sel_r     : std_logic;    signal  TH0_Sel_i,     TH0_Sel_r     : std_logic;    signal  TH1_Sel_i,     TH1_Sel_r     : std_logic;    signal  TH2_Sel_i,     TH2_Sel_r     : std_logic;    signal  T2CON_Sel_i,   T2CON_Sel_r   : std_logic;    signal  RCAP2L_Sel_i,  RCAP2L_Sel_r  : std_logic;    signal  RCAP2H_Sel_i,  RCAP2H_Sel_r  : std_logic;    signal  SCON_Sel_i,    SCON_Sel_r    : std_logic;    signal  SBUF_Sel_i,    SBUF_Sel_r    : std_logic;begin    R0       <= TCON(4);    R1       <= TCON(6);    SMOD     <= PCON(7);    Stop_n   <= not PCON(1);    Idle_n   <= not PCON(0);    Int_Trig <= Int_Trig_i;    -- Stop/IDLE    process (Rst_n, Clk)    begin        if Rst_n = '0' then            PCON <= "00110000";        elsif Clk'event and Clk = '1' then            if IO_Wr = '1' and IO_Addr_r = "0000111" then                PCON <= IO_WData;            end if;            if STOP = '0' then                if IDLE = '1' then                    if Int_Trig_i /= "00000000000" then                        IDLE   <= '0';                    end if;                end if;            end if;        end if;    end process;    -- Registers/Interrupts    process (IO_Addr, TCON, PCON, IE, EXIF, EIE)    begin        case IO_Addr is            when "0001000" => IO_RData <= TCON;            when "0000111" => IO_RData <= PCON;            when "0101000" => IO_RData <= IE;            when "0010001" => IO_RData <= EXIF;            when "1101000" => IO_RData <= EIE;            when others    => IO_RData <= "--------";        end case;        case IO_Addr is            when "0001000" => IO_CS <= '1';            when "0000111" => IO_CS <= '1';            when "0101000" => IO_CS <= '1';            when "0010001" => IO_CS <= '1';            when "1101000" => IO_CS <= '1';            when others    => IO_CS <= '0';        end case;    end process;    process (Rst_n, Clk)    begin        if Rst_n = '0' then            EIE    <= "11100000";            EXIF   <= "00001000";            IE     <= "00000000";            TCON   <= "00000000";            Int0_r <= "11";            Int1_r <= "11";            Int2_r <= "00";            Int3_r <= "11";            Int4_r <= "00";            Int5_r <= "11";        elsif Clk'event and Clk = '1' then            Int0_r <= Int0_r(0) & INT0;            Int1_r <= Int1_r(0) & INT1;

?? 快捷鍵說明

復制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
丝袜亚洲另类丝袜在线| 亚洲国产精品99久久久久久久久| 国产ts人妖一区二区| 亚洲一卡二卡三卡四卡五卡| 久久久久久久久97黄色工厂| 欧美视频在线观看一区| 成人动漫一区二区| 美国十次了思思久久精品导航| 自拍偷拍国产亚洲| 久久综合99re88久久爱| 欧美日韩国产经典色站一区二区三区| 国产福利不卡视频| 久久成人麻豆午夜电影| 午夜精品视频一区| 亚洲精品美国一| 欧美国产日韩亚洲一区| 欧美精品一区二区在线观看| 欧美精品久久天天躁| 99久久99久久精品免费观看| 国产激情一区二区三区| 日本欧美加勒比视频| 亚洲成精国产精品女| 日韩和欧美一区二区| 国产精品大尺度| 国产日韩欧美一区二区三区综合| 日韩视频免费观看高清完整版| 91精彩视频在线| 99视频超级精品| 成人免费毛片片v| 国产成人综合网站| 国产精品1区二区.| 国产自产2019最新不卡| 九一久久久久久| 极品销魂美女一区二区三区| 午夜视频一区二区三区| 亚洲韩国一区二区三区| 亚洲欧洲在线观看av| 亚洲黄色尤物视频| 天天av天天翘天天综合网色鬼国产| 久久久蜜臀国产一区二区| 欧美巨大另类极品videosbest| 成人手机在线视频| 美女精品自拍一二三四| 91一区二区在线| 99久久婷婷国产综合精品电影| 不卡欧美aaaaa| 成人a级免费电影| 91亚洲资源网| 91精品1区2区| 777午夜精品视频在线播放| 欧美人体做爰大胆视频| 欧美高清视频一二三区 | 欧美精品一区二区三区蜜桃| 日韩欧美另类在线| 欧美精品一区在线观看| 国产日韩欧美精品在线| 国产精品国产三级国产普通话99| 中文字幕在线不卡| 一区二区视频在线看| 亚洲精品国产无天堂网2021| 一区二区久久久久久| 丝袜美腿亚洲一区| 麻豆精品视频在线观看免费| 国产精品影视在线观看| kk眼镜猥琐国模调教系列一区二区 | 成人av一区二区三区| 91美女片黄在线| 欧美婷婷六月丁香综合色| 欧美一区二区三区不卡| 久久丝袜美腿综合| 亚洲欧美精品午睡沙发| 亚洲va欧美va天堂v国产综合| 日本欧美在线看| 成人18精品视频| 欧美日韩精品是欧美日韩精品| 欧美变态凌虐bdsm| 一区视频在线播放| 日韩不卡一区二区三区| 国产乱码一区二区三区| 色天天综合色天天久久| 欧美一级高清片在线观看| 国产精品久久久久影视| 舔着乳尖日韩一区| 懂色av一区二区三区蜜臀| 欧美午夜电影网| 中文字幕欧美三区| 午夜欧美2019年伦理| 成人午夜私人影院| 欧美肥妇毛茸茸| 国产精品区一区二区三| 亚洲aⅴ怡春院| 成人网页在线观看| 91精品国产综合久久久久久漫画 | 亚洲一区在线视频| 国产盗摄一区二区三区| 欧美日韩视频在线观看一区二区三区| 久久综合九色综合97婷婷| 一区二区成人在线观看| 国模套图日韩精品一区二区| 欧美三级三级三级| 国产精品丝袜一区| 丁香天五香天堂综合| 欧美日本一道本| 中文字幕一区二区三中文字幕| 日本网站在线观看一区二区三区| av动漫一区二区| 欧美精品一区二区三| 亚洲超碰精品一区二区| 成人h动漫精品一区二| 精品1区2区在线观看| 亚洲bt欧美bt精品| 亚洲日本乱码在线观看| 欧美日韩一本到| 国产精品中文字幕日韩精品| 日韩片之四级片| 久久99精品久久久久久久久久久久 | 国产精品久久久久久久久久久免费看 | 在线观看不卡视频| 午夜一区二区三区在线观看| 日韩三级视频中文字幕| 国产成人亚洲综合a∨婷婷| 中文字幕日韩av资源站| 在线观看视频91| 韩国精品一区二区| 一区二区三区四区中文字幕| 亚洲黄一区二区三区| 欧美一级一级性生活免费录像| 蜜桃av一区二区| 三级欧美在线一区| 91精品福利在线一区二区三区| 久草这里只有精品视频| 一区二区三区免费在线观看| 51精品久久久久久久蜜臀| 午夜一区二区三区视频| 本田岬高潮一区二区三区| 国产精品久久福利| 日本韩国欧美国产| 亚洲成人av一区二区三区| 欧美日韩国产首页| 粉嫩一区二区三区性色av| 国产精品全国免费观看高清 | 一色屋精品亚洲香蕉网站| 精品久久久久久无| 久99久精品视频免费观看| 日韩一区二区三区电影在线观看| 夜夜嗨av一区二区三区中文字幕| 99re这里只有精品首页| 中文字幕亚洲在| 色婷婷av一区二区三区gif | 久久久精品国产99久久精品芒果| 国产一区二区剧情av在线| 久久亚洲精华国产精华液| 国产做a爰片久久毛片| 久久久精品日韩欧美| 国产成人小视频| 综合久久久久久久| 欧美三级在线播放| 久久国产三级精品| 欧美国产日韩精品免费观看| 91色在线porny| 亚洲第一激情av| 精品久久一二三区| 成人精品免费网站| 一区二区三区资源| 日韩一级免费观看| 成人免费看黄yyy456| 一区二区三区日韩欧美精品| 91精品国产综合久久久蜜臀粉嫩| 狠狠色狠狠色综合| 最好看的中文字幕久久| 欧美嫩在线观看| 国产精品自拍网站| 亚洲另类在线制服丝袜| 欧美一区二区三区爱爱| 丁香一区二区三区| 一区二区三区四区在线播放| 91精品在线免费观看| 成人app在线观看| 日韩高清在线不卡| 国产三级精品三级在线专区| 9人人澡人人爽人人精品| 视频在线观看一区二区三区| 欧美经典一区二区| 欧美日本视频在线| 丁香五精品蜜臀久久久久99网站| 亚洲成人av一区| 欧美极品美女视频| 9191国产精品| 91视频观看视频| 久久电影国产免费久久电影| 亚洲色图在线视频| 亚洲精品在线电影| 欧美日韩黄视频| 99久久免费精品| 久久精品国产免费| 亚洲国产精品久久人人爱蜜臀| 久久久久久电影| 欧美精选在线播放| 色妹子一区二区| 国产精品911|